verlog中的'timer1scale中的点表示什么意思

1fs吧(我试了飞秒是支持的);

這个是仿真用的,不是真正时钟频率

那么写#1就是延时1ns,

你对这个回答的评价是

timescale只具有仿真意义,是仿真的精度在可编程器件的逻辑設计时,将它定义为所用的器件的引脚到引脚延时这样可以仿真可编程器件的固有延时。在进行语言及仿真时逻辑语句的执行时间是沒有延时的,在语句中人为加入延时语句使得仿真的逻辑结果接近综合布线后的仿真结果,也接近实际器件运行时的效果

至于最小时間单位,我只见过飞秒(fs)

你对这个回答的评价是

参考资料

 

随机推荐