简单的fpga实验fpga 点亮led灯原理图一个小灯~~要简...

21ic官方微信 -->
最新搜索:
您现在的位置是: > > >
推荐星级:
FPGA点亮led灯闪烁实验
用verilog HDL描述硬件语言,点亮led灯闪烁实验
部分文件列表
02_led_twinkle.rar
该用户分享的资料
该资料最近下载的用户
已有 234599 个资源一:背景介绍:
网上类似的标题很多,有一些呼吸灯的设计是基于单片机的,还有一部分设计是基于FPGA的,我也一时手痒,将这两天自己重新写的verilog描述语句晒出来,免得压箱底放久了,出现发霉点。
为什么说重新写的呢,之前有老师教过,讲过呼吸灯,并且画出了 波形图和框图,这段时间,略有闲暇,就将之前学习的程序,重新再写一遍,看看自己是不是真的掌握了,还是说仅仅停留在 知道 这一层面上。
如果哪一天,我的大脑在看到某一课题项目时,能够立刻在脑海里构建出 框图,并且瞬时构思出 波形图,哈哈,那就真的达到了我内心期盼的高峰;
我目前参加的培训班在上海,报的是周末班课程,培训班的老师上课认真、细致的,而且还很热情,有什么问题能够快速给你解惑,我是我所最看重的。其他的培训班老师怎么样我不知道了,也无权置评;没有经历是没有发言权嘛!
二:呼吸灯简介
言归正传,咱们讲讲呼吸灯方面的知识;
呼吸灯最早是被苹果公司开发出来的,已经面世,立刻吸引众多科技公司效仿;
呼吸灯,顾名思义,就是模仿人的呼吸,由亮变暗===》再===》由暗变亮===》由亮变暗.......,如此循环往复。
三:呼吸灯思路分析
1:呼吸灯分为两个部分,一个部分是&由亮变暗,
一个部分是&由暗变亮,
2:当由亮变暗,也就是:&
首先,占空比100%为零,
然后,占空比99%为零,1%为1;等于占空比100%
然后,占空比98%为零,2%为1;等于占空比100%
。。。。。。。。
。。。。。。。。
然后,占空比2%为零,98%为1;等于占空比100%
然后,占空比1%为零,99%为1;等于占空比100%
3:当由暗变亮,也就是:
首先,占空比100%为1,
然后,占空比99%为1,1%为零;等于占空比100%
然后,占空比98%为1,2%为零;等于占空比100%
。。。。。。。。
。。。。。。。。
然后,占空比2%为1,98%为零;等于占空比100%
然后,占空比1%为1,99%为零;等于占空比100%
四:呼吸灯功能定义
01)定义:一个完整的呼吸灯时间为2s,也就是,由亮变暗需要1s,由暗变亮需要1s;
02)将 & &1s分为1000等份,也就是 由亮变暗,需要100次的变化,每次为1ms
03)将1ms分为1000等份,占空比100%过渡到0%,需要1000次的变化,每次为1us;
五:功能框图
(&&借鉴雾盈网友的图,我们的方法是相同的 ,都是一个老师教授的,估计是我之前几届的)
六:波形图
呼吸灯是之前LED显示案例相关思路的一个汇总集成,属于一次升华;解题的思路很重要,思路清晰了,描述起来会如有神助,很快就能结束这个课题项目。
有的人觉得可能很简单,技术层面的事情如果捅破窗户纸,本就是很简单。夯实基础真的很重要,在基础厚实的过程中,你的感觉或者多次的锻炼形成的一直解题直觉会形成,这是极为重要的。
会者不难,难者不会;懂的人再接再厉,不懂的人埋头赶路,哪天抬头时,或许你会惊讶于自己已然成熟悟道。
八:推荐文章
01)雾盈FPGA笔记之(一):基于FPGA的呼吸灯简单实验程序
/jishu__1.html
02)“流水灯”升级,基于FPGA的呼吸灯设计
本文已收录于以下专栏:
相关文章推荐
本人第一次写博客,仅供学习参考使用,如有写的不恰当的地方还望友友们指出来。
本设计的目的是产生一个2s的led呼吸灯(呼吸灯原理我就不讲了,大家自行百度)。
程序粗略解读:
本设计中参数m,n共用的一...
FPGA数据采集-传输-显示系统(一)我将会以(1)基于FPGA的1.2/50μs冲击电压测量与显示;(2)基于FPGA的温度采集和以太网传输;这两个课题为基础详细介绍在系统
异步FIFO在ISE下有两种生成方法:
         法一:使用
串口通信也是一个基础实验,是FPGA与电脑、单片机、DSP通信的一种最简单的方案,对通信速率要求不高时可以选择UART通信。您可能已经知道UART时序的控制、波特率的配置等方面的内容,但在实际...
他的最新文章
讲师:王哲涵
讲师:韦玮
您举报文章:
举报原因:
原文地址:
原因补充:
(最多只允许输入30个字)实验4 基于FPGA的流水灯设计
实验四基于FPGA的流水灯设计一、实验的目的学习运用VHDL语言实现LED灯的控制方法。二、实验内容1.用VHDL语言编程,实现用8个发光二极管从左至右循环显示。要求:(1)用模式5中PI08-PI15接灯;(2)流水灯输入时钟为1Hz,即每秒钟变化一次;(3)流水灯输出为8位显示,每次仅一个灯亮;(4)用至少两种方法来设计流水灯的各部分功能模块(如:可以用元件例化的方法,或者用多进程的设计方法等等)。(5)用VHDL语言编程,实现其功能三、实验仪器计算机、QuatusII软件和康芯EDA实验箱四、实验报告要求根据以上的实验内容写出实验预习报告(没写预习报告的不准进入实验室)和实验报告,包括程序设计、硬件连接图。
& 果果文库所有资源均来源于互联网,仅供网友学习交流,若侵犯了您的权益,请联系我们予以删除。
13749&&人浏览
10264&&人浏览
15331&&人浏览
13507&&人浏览
7612&&人浏览
1364&&人浏览
1952&&人浏览
7843&&人浏览
18703&&人浏览
8145&&人浏览
7581&&人浏览
16391&&人浏览
16810&&人浏览
13730&&人浏览
11733&&人浏览
本文标题:实验4 基于FPGA的流水灯设计 链接地址:
copyright@ 2013- Inc. All Rights Reserved 果果文库 版权所有 联系站长: ; 经营许可证编号:浙ICP备号

参考资料

 

随机推荐