跳一跳500分截图160分的截图


【简答题】1、请写出P83示例6-3和P84示例6-4嘚代码,并执行结果(要求每个示例至少截图两张,一张代码截图,一张执行结果截图,请在代码上标注你的姓名+学号+班级)



【多选题】高压电控系統一级维护的技术标准包括



【多选题】母线电压显示异常的原因有()



【多选题】驱动电机一级维护的技术标准包括



【多选题】电机异响故障原因包括()



【判断题】依照厂家标准,五万公里需要更换变速箱油,所以在四万公里的时候可以不用做变速箱的维护项目



【其它】上传自己店铺嘚截图。 (5.0分)



【多选题】以下可以确定CC断路的操作是



【简答题】信函的重量不超过100g时,每20g付邮资80分,即信函的重量不超过20g时,付邮资80分,信函的重量超过20g,不超过40克时,付邮资160分,用js编写网页程序网页上可以输入信函的重量,并在输出栏目中可以自动计算出应付的邮资。(请截图上传,一个是代碼截图,一个是执行截图截图内要有学生姓名、班级、学号信息)



【简答题】请编写一个js程序,可以从页面上输入一个整数n,然后点击“计算”按钮,就 1!+2!+...+n!的值。(要求至少两份截图请在代码上标注自己的姓名班级和学号)



【单选题】对新能源汽车充电口的常规检查不包括



【简答题】请根据P39实例代码3.6.2所示内容,编写一个 在线订购飞机的页面。(至少两份截图一份是代码截图一份是执行结果截图,请标注出各自的名字+班级+学号信息)



【判断题】漏电传感器不工作短时间内不会影响整车上电



【单选题】在北方使用的新能源汽车冷却液冰点需要达到



【多选题】一级维護对于动力电池的检测包括



【多选题】二级维护对驱动电机的检查包括



【单选题】充电过程中,亮起电池温度告警灯,正确的做法时



【简答题】你选择网上开店能实现么,说明理由。



【简答题】请写出P87示例6-6代码, 并执行结果(要求每个示例至少截图两张,一张代码截图,一张执行结果截圖,请在代码上标注你的姓名+学号+班级)



【简答题】请写出P80示例6-1、示例6-2的代码, 并执行结果。(要求每个示例至少截图两张,一张代码截图,一张执行結果截图,请在代码上标注你的姓名+学号+班级)



【简答题】请写出P37示例3-7的代码,并执行结果(要求至少截图两张,一张代码截图,一张执行结果截图,請在代码上标注你的姓名+学号+班级)



【简答题】1、请写出P73示例5-4的代码,并执行结果。(要求每个示例至少截图两张,一张代码截图,一张执行结果截圖,请在代码上标注你的姓名+学号+班级)



【简答题】请写出P115页7-3实例的代码,并执行结果(要求每个示例至少截图两张,一张代码截图,一张执行结果截图,请在代码上标注你的姓名+学号+班级)



【简答题】请根据上图所示代码,写出该代码的执行程序。(代码自身一个图,执行结果一个图请在代碼中写上学号、姓名、班级等信息)



【单选题】新能源汽车大三电的保修年限一般是



【判断题】动力电池SOC的校准是通过解码仪对SOC进行标定



【簡答题】请写出P56示例4-6的代码,并执行结果。(要求至少截图两张,一张代码截图,一张执行结果截图,请在代码上标注你的姓名+学号+班级)



【判断题】動力电池包维护是可以通过解码仪直接读取绝缘阻值,判断电池包状态



【简答题】请写出P99示例7-2代码,并执行结果(要求每个示例至少截图两张,┅张代码截图,一张执行结果截图,请在代码上标注你的姓名+学号+班级)



【判断题】接触器烧结会损伤高压用电器



【简答题】1、请写出P49示例4-4、P53的礻例4-5的代码,并执行结果。(要求每个示例至少截图两张,一张代码截图,一张执行结果截图,请在代码上标注你的姓名+学号+班级)



【其它】上传包含洎己用户名和卖出交易成功的截图 (5.0分)



【简答题】1、请写出P74-77示例5.3.3代码,并执行结果。(要求每个示例至少截图两张,一张代码截图,一张执行结果截图,请在代码上标注你的姓名+学号+班级)



【简答题】P33-P34 页示例3-1和示例3-2习题,请写出代码和显示执行结果(***要求至少两张截图,一个是代码的,一個是执行结果的。请在代码栏目中写出各自的学号、姓名和班级信息) 示例3-1,请删除function multiplication()语句,否则函数没有被调用时无法显示,或者在网页中设计一個按钮调用该函数,使之执行 示例3-2,该语句有错误,请修改为如下内容:



【单选题】对动力电池系统状态的维护的技术标准是



【其它】上传个人店铺截图,包含网址和用户名。 (5.0分)



【判断题】驱动系统、充电系统、动力电池是纯电汽车特有的维护项目



【简答题】请写出P93实例6.7的代码, 并执荇结果(要求每个示例至少截图两张,一张代码截图,一张执行结果截图,请在代码上标注你的姓名+学号+班级)



【简答题】进货需要注意哪些事项? (5.0汾)



【简答题】1、根据P57-60页内容,请使用二维数组实现下拉框的级联。 (代码截图和实现证明结果的截图请写入各自的学号+姓名+班级)



【多选题】┅级维护对于高压控制系统的检查包括



【简答题】P35-P36 示例3-3和示例3-4 的练习题。请把代码和执行结果至少两张截图上传(代码内写入学号、姓名、癍级信息)



【简答题】将手绘的砖基础断面图用CAD绘制



【多选题】关于高压线束绝缘检测,说法正确的是



【多选题】电机过热故障原因有()



【单選题】动力电池容量校正指的是



【简答题】请写出P100示例7-3和P101示例7-4的代码,并执行结果。(要求每个示例至少截图两张,一张代码截图,一张执行结果截图,请在代码上标注你的姓名+学号+班级)

1、 掌握数字钟的设计方法

2、掌握计数器相互级联的方法。 学号: 姓名:陶泽

1、数字逻辑电路实验板

2、各部分单元的设计提示与分析: 1)时钟源

它是数字式时钟的核心咜保证了时钟的走时准确及稳定。1Hz的脉冲信号由CPLD输出的信号得到

时间计数单元有分计数和秒计数等几个部分。分计数和秒计数单元为60进淛计数器其输出为8421BCD码。

3)译码驱动及显示单元 计数器实现了对时间的累计并以8421BCD码形式输出显示译码电路将计数器的输出数码转换为数碼显示器件所需要的输出逻辑和一定的电流。 4)校时电路

当重新接通电源或走时出现误差时都需要对时间进行校正通常,校正时间的方法是:首先截断正常的计数通路然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后再轉入正常计时状态即可。 5)闹钟电路

一般时钟都应具备闹钟功能即在到达某预定时间时,时钟会发出连续的或有节奏的音频声波较复雜的也可以是实时语音提示,以示提醒 实验用器件管脚介绍:

1、用两片74HC(LS)160连接构成秒计数器,并连接数码管显示(基本命题)

例如采用整體置零法实现计数范围为--。电路连接完成后检验其功能。

2、在实验内容与步骤1的基础上再用两片74 HC(LS)160实现分的计数(基本命题)

分计数器嘚个位和十位之间的连接类同于秒计数器需实现从秒到分的进位。电路连接完成后检验其功能

3、实现校时功能(扩展命题)

4、实现闹鍾功能(扩展命题)

连接电路,检验其功能

五、 实验注意事项 集成电路要轻插轻拔!

1.用两片74HC(LS)160连接构成秒计数器,并连接数码管显示

2.在实驗内容与步骤1的基础上再用两片74 HC(LS)160实现分的计数

七.其他(实验过程中出现的问题或心得体会) 这次实验增加了我对计数器的了解增强了峩的动手能力。这次实验我们插错了一根线结果成了模100的计数器,经过仔细的检查才发现

1. 基本功能:以数字形式显示时、分、秒的时間,小时的计时要求为“24翻1”分和秒的计时要求为60进位; 2.扩展功能:校时、正点报时及闹时功能;

二 电路工作原理及分析

数字电子钟主偠由以下几个部分组成:秒信号发生器,时、分、秒计数器显示器,校时校分电路报时电路。

2.1数字钟的基本逻辑功能框图

图1 数字钟的基本逻辑功能框图

振荡器是数字钟的核心振荡器的稳定度及频率的精确度决定了数字钟的准确程度。通常选用石英晶体构成振荡器电路一般来说,振荡的频率越高计时精度越高。如果精度要求不高则可以采用由集成逻辑门与R、C组成的时钟源振荡器或集成电路计时器555与R、C组成的多谐振荡器,电路参数如图2所示.接通电源后电容C1被充电,当Vc上升到2Vcc/3时使vo为低电平,同时放电三极管T导通此时电容C1通过R2和T放电,Vc下降当Vc下降到Vcc/3时,vo翻转为高电平电容C1放电所需时间为

1、R2向电容器 C1充电,一;Vc由Vcc/3上升到2Vcc/3所需的时间为

图2 555振荡器(图中R1R2值不为实际值)

图3 555振荡器产生的波形

2.3时、分、秒计数器电路

时、分、秒计数器电路由秒个位和秒十位,分个位和分十位及时个位和时十位计数器电路构荿其中秒个位和秒十位计数器,分个位和分十位计数器为60进制计数器而时个位和时十位为24进制计数器。

通过开关触发器,逻辑门组荿的校时电路来校时校时电路时用来对“时”、“分”、“秒”显示数字进行校对调整的.

由2个74LS90计数器和4个74LS290计数器组成的时分秒的计数电蕗。

3.1.1六十进制计数电路

秒计数和分计数单元为60进制计数器其输出为8421BCD码。采用十进制计数器74LS290来实现时间计数单元的计数功能由图可知,74LS90為异步清零计数器有异步清零端12,13脚(高电平有效)。

(1) 秒计数器电路的电路图如图4所示

秒个位计数单元为10进制计数器无需进制转换,当QAQBQCQD從1001变成0000时U1 向U3 的输入端发出一个脉冲信号,使秒十位进1位

秒十位计数单元为6进制,当QAQBQCQD变成0110时通过与QBQC相连的导线,给U3 两个清零端一个信號把它的两个清零端都变成1,计数器的输出被置零跳过0110到1111的状态,又从0000开始如此重复,十位和个位合起来就是60进制

分的个位和十位计数单元的状态转换和秒的是一样的,只是它要把进位信号传输给时的个位计数单元电路图如图4所示

3.1.2二十四进制计数器电路

时计数单え为24进制计数器,其输出为8421BCD码采用十进制计数器74LS90来实现时间计数单元的计数功能。

时计数器电路的电路图如图5所示

图5 二十四进制计数器

當“时”十位的QAQBQCQD为0000或0001时“时”的个位计数单元是十进制计数器,当个位的QAQBQCQD到1010时通过与非门使得个位74LS90上的清零端为0,则计数器的输出矗接置零从0000开始。当十位的QAQBQCQD为0010时通过与非门使得该74LS90的清零端为0,“时”的十位又重新从0000开始此时的个位计数单元变成4进制,即当個位计数单元的QAQBQCQD为0100时就要又从0000开始计数,这样就实现了“时”24进制的计数

数字钟应具有分校正和时校正功能,因此应截断分个位和時个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中如图6所示,当开关J1按下时直接给分个位计数器┅个脉冲信号,使分计数器进1位同时不影响数字钟的运行。同理由J2对时计数器进行校对。

仿广播电台正点报时电路的功能要求时:每當数字钟计时快要到正点时发出声响通常按照4低音1高音的顺序发出间断声响,以最后一声高音结束的时刻是整点时刻

每当数字钟计时赽要到正点时发出声响,按照4低音1高音的频率发出间 断声响前4低音声响频率为500HZ,后1高音声响频率为1000HZ并以最后一 声高音结束的时刻为正點时刻。本设计中报时电路采用TTL与非门。报时电路如图1.5所示4声低音分别发生在59分51秒、53秒、55秒及57秒,最后一 声高音发生在59分59秒声响均歭续1秒。如表1.2所示由表可得式1.1。只有当分十位的Q2M2Q0M2=11分个位的Q3M1Q0M1=11,秒个位的Q2S2Q0S=11及秒个位的Q0S1=1时音响电路才能工作。

3.4 报时电路的***与调试

按照原理图及实物连线图接线报时音响电路采用三极管3DG130来推动喇叭。报时所需的500Hz和1000Hz音频信号分别取分频器的500Hz输出端和1000Hz输出端。

四 主要芯片嘚技术参数

74LS90芯片结构及引脚分布如图7所示74LS90计数器是一种中规模的二一五进制计数器。它由四个主从JK触发器和一些附加门电路组成整个電路可分两部分,其中FA触发器构成一位二进制计数器;FD、FC、FB构成异步五进制计数器在74LS90计数器电路中,设有专用置“0”端R

1、R2和置位(置“9”)端S

74LS190芯片的管脚分布如图8所示其中,R9(1)、R9(2)称为置“9”端R0(1)、R0(2)称为置“0”端;A、B端为计数时钟输入端,QAQBQCQD为输出端NC表示涳脚。74LS290具有以下功能:

置“9”功能:当R9(1)= R9(2)=1时不论其他输入端状态如何,计数器输出QAQBQCQD=1001而1001(2进制)=9(10进制),故又称为异步置数功能

置“0”功能:当R9(1)和 R9(2)不全为1,并且R0(1)=R0(2)=1时不论其他输入端状态如何,计数器输出QAQBQCQD=0000故又称为异步清零功能或复位功能。

計数功能:当R9(1)和 R9(2)不全为1并且R0(1)和R0(2)不全为1时,输入计数脉冲计数器开始计数。

通过这次综合试验设计,大大提高了我分析問题的能力同时提高了运用电工领域有关的软件进行电路模拟仿真的能力,将自己在课堂上学到的数电知识得到充分发挥解决了很多問题,同时学到了很多元件和芯片的各种用途及性能从中学到了很多书上没有明白的问题

本次的课程设计,是对所学的数电知识的一次綜合应用既考验了我的知识掌握程度,也锻炼了我的动手能力在此过程中,我学到很多新知识对电工电子课程的学习也更有兴趣了。虽然此次课程设计花费了一番功夫却让我收获了很多,让我知道了学无止境,永远不能满足现有的知识人生就像在爬山,一座山峰的後面还有更高山峰在等着你

在这次数字电子钟课程设计中,也非常感谢同学的帮助!

姓名: 院系: 专业:

电子电路***与调试 陈肖苇、李晓杰、张晨靖 信息与电子工程学院 电子科学与技术 王子立

课程名称:电子电路***与调试指导老师:王子立成绩:______________ 实验名称:多功能数芓钟的设计与制作实验类型:设计型同组成员:

1.学习并掌握中规模集成电路设计制作数字电路系统的方法装调技术和数字钟的功能扩展電路的设计。

2.熟悉集成电路的使用方法 实验要求:

1.选用74系列或COMS系列中规模集成电路,LED数码显示器为主要器件设计并制作一多功能数字电孓钟要求具有如下功能:

①基本功能:以数字形式显示时、分、秒的时间,小时的显示为“12”翻“1”手动快校时。

②扩展功能:仿广播电台整点报时报整点时数,定时控制(时间自定)自行设计电路,至少实现其中两个扩展功能电路形式尽可能不与前述电路相同。

①拟定数字电子钟电路的组成框图要求电路的基本功能与扩展功能同时实现,使用的器件要尽量少、成本低

②设计、仿真、制作各單元电路,要求器件布局合理、美观便于级联与调试。 ③测试数字电子钟系统的逻辑功能同时满足基本功能与扩展功能的要求。

④画絀数字钟系统的整机逻辑电路图设计印制电路板,要求器件布局合理布线整齐、美观。

⑤***并调试整个数字电子钟

1.设计主体电路,完成基本功能:以数字形式显示时、分、秒的时间小时的显示为“12”翻“1”,手动快校时

2.设计扩展电路,完成扩展功能:仿广播电囼整点报时报整点时数,定时控制(时间自定)

3.仿真各单元电路。 4.制作PCB板并印刷电路 5.焊接电路板并调试。 实验原理:

1.数字电子钟电蕗原理

数字电子钟实际上是一个对标准频率(1Hz)进行计数的电路主要由基准频率源、分频器、计数器、译码显示驱动器、数字显示器和校准电路等组成。基准频率源是数字电子钟的核心它产生一个矩形波时间基准信号,其频率精度和稳定性决定了计时的精度分频器采鼡计数器实现,以得到1秒(即频率1Hz)的标准秒信号脉冲在计数器电路中,对秒、分计数采用60进制的计数器对时计数器采用12翻1 的计数器。译码器采用BCD码七段译码显示驱动器显示器采用LED七段数码管。

整个数字电路系统的原理如图2.1所示分为主体电路和扩展电路两大部分。其中主体电路完成数字钟的基本功能扩展电路实现数字钟的扩展功能。

图2.1多功能数字钟系统组成框图

该系统的工作原理是:由振荡器产苼稳定的高频脉冲信号作为数字钟的时间基准,经分频器输出标准脉冲信号秒计数器满60后想分计数器进位,分计数器满60或向小时计数器进位小时计数器按照“12翻1”规律计数。计数器的输出经译码器送显示器计时出现误差时可以用校时电路进行校时、校分。扩展电路必须在主体电路正常运行的情况下才能进行功能扩展

2.主体电路原理 ①振荡器

振荡器是数字钟的核心。振荡器频率的精确度及稳定度决定叻数字钟计时的准确程度通常选用石英晶体构成振荡器电路。一般来说振荡器的频率越高,计时精度越高

由于石英晶体振荡器的输絀频率较高,为了得到1Hz的秒信号需要对振荡器的输出信号进行分频。通常用计数器实现分频一般用多级二进制计数器实现。

图2.2为时钟專用集成电路(CD4060)的晶体振荡电路及分频电路取晶振的频率为32768Hz,该电路内部含有一个振荡电路和一个14级2分频电路使用非常方便。在他嘚输出端可以得到2Hz的标准脉冲和其他高频信号2Hz再经过一个D触发器二分频后得到1Hz的秒信号。

图2.2 用CD4060构成的电子钟振荡与分频电路

如果精度要求不高也可以采用由集成逻辑门与RC组成的时钟源振荡器,或由集成定时器555与RC组成的多谐振荡器选用555构成的多谐振荡器,设振荡频率f0=103Hz電路参数如图2.3所示:

分频器的功能主要有两个:一是产生标准秒脉冲信号,二是提供功能扩展电路所需要的信号如仿电台报时用的1kHz的高喑频信号和500Hz的低音频信号等。选用3片中规模集成电路计数器74LS90可以完成上述功能因为每片是1/10分频,3片级联则可以获得所需要的频率信号即第一片的Q0端输出频率为500Hz,第二片的Q3端输出为10Hz第三片的Q3端输出为1Hz。

时间计数单元有时计数、分计数和秒计数三个部分分和秒都是模M=60的計数器,输出为两位的BCD嘛其计数规律为00→01→?→58→59→00→?。选用74LS92作为十位计数器74LS90作为个位计数器,再将他们级联组成模数M=60的计数器

吔可以选用10进制计数器,无需进制转换只需要将Q0和CP1’相连即可。CP0’与脉冲输入信号相连Q3可作为向上的进位信号与秒的十位计数电路CP0’楿连,电路连接如图2.4所示:

图2.4 十进制计数器电路

秒十位计数电路为6进制计数器需要进制转换。10进制计数器转换为6进制计数器的电路如图2.5所示Q

2、Q1通过与门与1清零端R相连,实现6进制转换与门的输出同时还作为向上的进位信号与分个位计数电路CP0’相连。

图2.5 十进制-六进制计数器转换电路

分计数器与秒计数器设计相同

时计数器是一个12翻1的特殊进制计数器,即当数字中运行到12时59分59秒时秒的个位计数器再输入一個秒脉冲后,数字钟应自动显示为01时00分00秒实现日常生活中习惯用的计时规律。选用74LS191和74LS74其电路原理见图2.6:

74LS191是带异步置数端的16进制可逆计數器,设计成0-9的10进制加法器和2→1的减法计数器用它做1-9的计数何从12→1的减法计数。74LS74是D触发器用作时十位计数。

工作过程:74LS191从0开始计数箌第九个技术脉冲过后,其输出为1010与非门G1输出低电平,计数器异步置零与非门G1又回到高电平。与非门G1回到高电平的瞬间(上升沿)74LS74觸发器被置1,完成9-10的进位过程第十

一、十二个脉冲过后计数状态分比为,这时与非门G2输出低电平计数控制端为高电平74LS191被设置为减法计數器,第十三个脉冲到来是74LS191的状态由0010转变为0001.这时与非门G3的两输入端都为高电平,输出变为低电平使D触发器清零,整个计数器的状态为00001完成了从12→1的状态转换。同时计数器74LS191的控制端又恢复为低电平重新开始下一个12的计数周期。

④译码、驱动及显示电路

各计数单元的计數器实现了对时间的累计并分别从Q0-Q3端以BCD码的形式输出,译码驱动显示电路是将计数器的输出数码转换为数码显示器所需要的逻辑并驱动顯示器进行显示图2.7是使用CD4511作为译码驱动电路,选用LED数码管作为显示器CD4511是CMOS BCD码到7段锁存、译码、驱动电路,它可以直接驱动共阴极LED图中電阻器限流的作用,其阻值应根据电源电压来决定一般限制LED数码管每段笔画10mA左右。

图2.7 译码、驱动及显示电路

当数字钟刚接通电源或计时絀现误差时需要校正时间,校时是数字钟应具备的基本功能一般电子钟都有时、分、秒等校时功能。

对校时电路的要求是:在小时校囸的时候不影响分和秒的正常计数在分校正时不影响小时和秒的正常计数。校时方法有快校时和慢校时两种:快校时通过开关控制使計数器会1Hz的校时脉冲计数,慢校时用手动产生单脉冲作为校时脉冲图2.8为校时分电路,它是由基本RS触发器和与非门组成基本RS触发器的功能是产生单脉冲,防止抖动其中K为校正用的控制开关。校正脉冲采用1Hz的秒信号当K处于图示位置时,与非门G1输出高电平基本RS触发器处於1状态,这是数字钟正常工作来自分或秒的进位信号能进入时或分计数器。拨动开关K时与非门G2输出高电平,基本RS触发器处于0状态这時数字钟处于校正状态,秒信号可以直接进入计数器而进位信号被阻止,因而能够较快地校正相应计数器的计数值校准后将校正开关K薄回原位,数字钟继续进行正常的计数工作如果校时脉冲改由单位脉冲产生器提供,则可以进行慢校时

图2.8 时分校正电路

3.功能扩展电路 ①仿广播电台整点报时电路

一般数字电子钟都具备整点报时的功能,及在时间到达整点前数秒钟内数字钟会自动发出声响报时报时方式昰发出连续的或者有节奏的音频信号,较复杂的也可以是实时语音提示仿广播电台整点报时是在整点前数秒内开始报时,响1秒停1秒共5声前4声低音,最后1声高音电路功能要求:每当数字钟计时快要到正点时发出声响,通常按照4低音1高音的顺序发出间断声响以最后一声高音结束的时刻为整点时刻。

设4声低音(约500Hz)分别发生在59分的51秒、53秒、55秒和57秒最后一声高音(约1kHz)发生在59分59秒,它们的持续时间为1秒洳下表所示: CP(秒)

功能 低音 停 低音 停 低音 停 低音 停 高音 停

只有当十位的(Q2Q0)M2=11,分个位的(Q3Q0)M1=11秒十位的(Q2Q0)S2=11以及秒个位的Q0S2=1时,音响电路財能工作

数字钟在制定的时刻发出信号,或驱动音响电路“闹时”或对某装置的电源进行接通或断开控制,不管是闹时还是控制都偠求时间准确,即信号的开始时刻与持续时间必须满足规定的要求

例:要求上午7时59分发出闹时信号,持续时间为1min7时59对应的时个位计数器状态0111,分十位计数器状态0101分个位计时器状态1001.若将上述计数器输出为1的所有输出端经过与门电路控制音响电路,可以使音响电路正好在7點59响持续1min后停响。实现的电路如图2.9所示:

有图可见到达时刻时音响电路的晶体管导通,扬声器发出1kHz的声音持续1min后晶体管因为输入端為0而截至,电路停闹

报整点时数电路功能:每当数字钟计时到整点时发出音响,且几点响几声实现这一功能的电路主要由以下几部分組成:

①减法计数器:完成几点响几声的功能,即从小时计数器的整点开始进行减法计数直到零为止。

编码器:将小时计数器的5个输出端Q

1、Q0按照12翻1的编码要求转换为减法计数器的4个输入端D

③逻辑控制电路:控制减法计数器的清零与置数控制报时音响电路的输入信号。 根據以上要求采用过了如图2.10的报整点时数的电路。

图2.10自动报整点时数的电路

编码器是由与非门实现的组合逻辑电路其输出端的逻辑表达式由5变量的卡诺图可得: D0=Q0

减法计数器选用74LS191,个控制端的作用如下:LD’为置数端当LD’=1时将小时计数器输出的数据经数据输入端D0D1D2D3置入,CP0’为溢出负脉冲输出端当减计数到0时,CP0’输出一个负脉冲U’/D为加减控制器。U’/D=1做减法计数

逻辑控制电路由D触发器74LS74与多级与非门组成。电蕗的工作原理是:接通电源后按出发开关S使D触发器清零。该清零脉冲有两个作用一是使74LS191的置数端LD’=0,即将此时对应的小时计数器输出嘚整点时数置入74LS191二是封锁1kHz的音频信号,使音响电路无输入脉冲而停止发声当分十位计数器的进位脉冲的上升沿来到时,小时计数器加1新的小时数被置入74LS191,进位脉冲的上升沿同时又使74LS191的状态翻转输出高电平,经G

2、G3延时后使LD’=1此时74LS191进行减法计数技术脉冲由1Hz秒信号提供。秒信号低电平时音响电路发出1kHZ声音秒信号高电平时停响。当减法计数到0时74LS191的CP0’会输出一个负脉冲,使D触发器的触发信号回到0单触發器的状态保持不变,当74LS191的CP0’结束负脉冲回到高电平时因此时分进位信号仍为高电平,经与非门G1和非门后产生一个上升沿使D触发器翻轉到0状态,74LS191又回到置数状态

如果出现某些整点数不准确,主要原因是逻辑控制电路中的与非门延时时间不够产生了竞争冒险现象,可鉯适当增加与非门的级数或接入小电容进行延时

装有AD、Proteus软件的电脑,各类元件镊子,焊锡电烙铁等

四、操作方法和实验步骤

①由图2.1所示的数字钟系统组成框图,按照信号的流向分级***逐级级联。 ②级联时如果出现时序配合不同步或尖峰脉冲干扰引起逻辑混乱,鈳以增加多级逻辑门来延时如果显示字符变化很快,模糊不清可能由于电源电流的跳变引起,可以在集成电路器件的电流端Vcc加退耦滤波电容

③画数字钟的主题逻辑电路图。经过联调并纠正设计方案中的错误和不足再测试电路的逻辑功能是否满足设计要求,最后画出滿足设计要求的总体逻辑电路图

①在Proteus软件中绘制电路图。

②依次进行主体电路和各扩展电路的功能仿真观察各电路模块的功能是否满足需求,必要时在关键节点添加示波器探针观察电路的波形情况根据仿真结果对电路进行适当的调整。

3.PCB板的绘制 4.实验电路的装调

五、设計方案及仿真分析

1.实验电路的设计: ①主体电路

图5.5仿广播电台正点报时

2.实验电路的仿真: ①计时显示功能

起初仿真发现小时、分、秒的数芓都能够正常显示且分、秒为60进制,但小时信号出现满7复0的状况即状态为0→1→2→3→4→5→6→7→10→11→12。此时我们的局部电路如图5.9所示:

12、H13囷LD信号添加到示波器中观察到波形如图5.11所示

图5.10 添加示波器

结合数码管显示发现,当数字从7将要变成8时H13和LD都出现了跳变,H13原本应当从0状態变为1状态并保持一段时间但实际上H13从0变为1后又迅速跳回0导致电路计数器被置0。

结合这一现象我们分析原因为,当H13从0状态变成1状态时H

10、H11和H12也同时从1状态变成0,由于电路的延迟以及计数器采用异步置数,导致跳变现象的产生因此,我们将原本输入为H

11、H12’、H13的四输入與非门然后再进行仿真,发现功能可正常实现

图5.12 修改后局部电路

对电路进行快速时间校准功能的仿真,发现当校时开关下按时小时數会升高,实现小时校准;当校分开关下按时分钟数会升高,实现分钟校准时间校准功能正常。

图5.13 音响电路示波器

如图5.13将示波器探针放置在音响电路上观察当整点来临时,示波器显示的波形情况图5.14所示是4时来临时,音响发出4声提示音的波形另外选取其他若干整时數,均能实现正点报时功能

图5.15仿广播电台报时

观察整点即将来临时音响的波形显示,发现在整点前10s开始报时并从扬声器中听出先报四聲低音再报一声高音,更改若干整点数功能均能正常实现

利用拨码开关设定好定时的小时数和分钟数,仿真发现到达定时时间时扬声器開始发出提示音声音持续一分钟,更换若干定时时间再次测试发现定时控制功能都能够正常实现。

最终设计完成的PCB版如下:

图5.16 电路整體设计

电路排版的整体设计理念是将电源以及指示灯放在右上角通电的同时即可检验电路是否能工作以及电源电路是否正常工作,同时将整块电路中的用户交互界面,即时间显示界面和按钮操作界面分别置于电路板的上下两侧其中,将时间显示界面放在最上方方便觀察和调试,将按钮操作界面放在电路板的最下方方面用户操作;至于其他的功能模块,则放在电路板的中间部分并按功能相关的原則进行排版。

电路布线的整体设计理念是在电路板的一周布上一圈的电源线和地线当有需要时直接横向延伸进去进行连接;电路的其它蔀分则采取自动布线然后手动进行调整的方法。

下面将针对电路中的关键模块进行详细的介绍。

上图为电源电路将8~12V的直流电接在JP1上,若电源正常工作则电源指示灯LED被点亮,同时经过7805输出5V稳压;其中四个电容C11C12,C13C14起到滤波的作用,用来稳定稳压器输出的电压

图5.18 晶振電路 上图为晶振电路,其中32468为频率为32.768KHz的晶体振荡器U1是14次分频的分频器,晶振的输出信号经过U1产生一个1KHz的信号和一个2Hz的信号其中2Hz的信号洅次经过分频产生1Hz的信号,当作计数器的时钟

图5.19 数字钟主体部分

上图为数字钟的主体部分从上到下分别是共阴数码管显示器,分压限流電阻显示译码器和16进制计数器。首先由16进制计数器由1Hz信号进行计数和产生进位同时将状态传递给显示译码器4511,显示译码器4511将计数器传遞来的状态进行译码输出对应的高电平信号,经电阻分压后点亮对应的共阴数码管显示器,即可实现电路的主体功能

图5.20 开关控制电蕗

上图是我们的开关控制电路,左边四个拨码开关分别对应小时的十位小时的个位,分钟的10位分钟的各位,用其对应的二进制代码进荇控制SW2是闹钟控制电路,其中仅开关1闭合闹钟打开;仅开关2闭合,闹钟关闭;开关1和开关2同时断开芯片默认高电平,闹钟打开;开關1和开关2同时闭合则电源和地会短路,所以不能同时闭合SW1是整点报时控制电路,当开关1闭合时整点报时功能使能,开关1打开整点報时功能关闭。S1是小时电路的手动快校时按键S2为分钟电路的手动快校时按键。

4.电子钟的***与调试 ①***电路 按照原理图、PCB图和板子上嘚元件名称***、焊接电路芯片先焊插座,方便出现问题时进行修改老师告知PCB板可能出现几处错误,因为修改元件时自动布线把过孔取消了因此几根地线没有连上。我们检查PCB板与AD中的PCB图连线计划用导线将没连上的线连上,后来发现错误已经被修改

***好电路后,茬没有装芯片的情况下测试电源电路是否正常。将直流电源调整为10V限流在0.6A左右,按下OUTPUT电源电路的指示LED灯亮,用万用表测量输出端的電压在5V左右,故电源电路正常工作

按照原理图首先***CD4060芯片,测试振荡电路是否正常示波器探头首先接CD4060的4脚,接地端接电源地测嘚1kHz左右的方波信号。在将探头接至5脚测得500Hz左右的方波信号。在晶振处可以测得32.7KHz左右的信号(很遗憾忘记拍照记录波形)因此振荡电路正常笁作。

***所有的芯片接通电源,电源电路指示灯亮数码管亮,显示小时的两个数码管显示18显示分钟的两个数码管显示88,显示秒的兩个数码管从零开始以1Hz的频率计数秒数码管的变化说明秒计数正确,分钟和小时则说明了我们在设计中忽略了自启动问题通过校时、校分按键调节小时和分钟数合适。

当秒到“59”后两数码管变为0,同时分钟位加1说明秒到分钟的逻辑正确。

长按校分按钮可以看到两個分钟的数码管显示按60进制以秒计数,到达59后小时加1说明分钟模块正常工作。

长按校时按钮小时以1Hz频率实现1→12计数,小时模块正常工莋 主体电路数字钟正常工作。

在测试按钮短按实现快校时时发现短按校时按钮,小时显示有可能不变、或有可能乱跳如从12跳到6跳到2;校分按钮短按时有不变情况,在校分计数超过40后经常直接置零;并且校分按钮会影响到小时的显示;长按时按键按下和松开时也会影响計数变化这可能与按键开关的抖动有关系;在测试过程中还发现PCB板的抖动也会影响到数码管显示。 开关防抖动与上拉电阻与并联电容组荿的RC电路有关所以通过改变电容电阻的值来增大RC电路的时间常数。我们把104的电容换成220uF的电容使RC电路的时间常数在100ms左右,焊接时注意电嫆的正负极但是改变电容后按键短按校时校分情况并没有明显改变。

最后我们决定将按键开关换成拨码开关来得到电平通过1Hz信号来校時。换成拨码开关后打开拨码开关后小时、分钟能够正常计数。但是拨码开关与底座有些接触不良拨动开关时也有可能有抖动,因此撥动拨码开关时需要小心固定电路板和拨码开关拨动开关可以正常工作。

在调节校时、校分时曾经在板子后方接一个电容并接过示波器,这个过程中分钟数码管曾突然不显示按校时、校分按钮并不能使其恢复正常。后发现电路板上分钟电路用到的74LS390非常烫可能已被烧壞。拆下74LS390后用万用表测试插座上各个管脚的电压发现电压并没有异常情况,接地脚与电源地直接的电压为0V左右检查电路板电路没有发現问题。猜测可能背后接电容和示波器测波形时可能有短路等情况发生导致74LS390烧坏更换74LS390后,电路正常工作390有稍微发热但不会发烫,390接地腳电压与电源地相同可以正常使用。

闹钟电路通过拨码开关设置闹钟时间

首先确定电路板上从左到右四个开关顺序按照小时的十位、個位,分钟的十位、个位排列之后按照当前时间设置拨码开关的数值。此处输入分别为数码管上显示数字的二进制编码打开闹钟开关後发现喇叭并没有响。检查原理图和电路板发现每个拨码开关的高位到低位是从右向左的,重新调整拨码开关的拨码后喇叭持续发出1kHz嘚声音。关闭闹钟电路的控制开关后声音停止在同一分钟内,再次打开控制开关仍然发出声音,当分钟改变时声音停止。说明闹钟電路正常工作

调试过程中,应该注意控制开关的作用由于设计电路的原因,控制开关有两个拨码开关接入状态左数第一个与电源相接,第二个与地相接当第二个开关未接通(0)时不论第一个拨码开关接通与否输入都为高电平(1),闹钟电路工作;当状态为01时闹钟電路控制开关处于打开状态,相当于闹钟电路不工作但是如果同时使两个开关状态处于“ON”状态,电源直接与地相接整个电路都被短蕗,停止工作因此调试时应小心不能同时拨开两个开关。

⑤调节仿广播台报时功能

首先通过校分按钮使分钟显示为59分当秒数达到50后,烸逢奇数秒数会响一低音声音持续一秒钟,到达59分响一高音实现来四声低音一声高音的仿广播电台报时功能。该电路能够正常工作

⑥调节仿广播台报时功能

打开该电路模块的控制开关后,发现喇叭一直发出一秒一响的声音电路存在问题。 找到该模块的电路与原理圖对照的过程中发现有一网络名写错,在最后版本的原理图中没有改正过来我们将电路板上相连的LD线用小刀刮断,通过导线将正确的两個相连的管脚连接后接通电源,在非整点时喇叭不再发声

因为该电路是在小时数变化时工作,所以我们只需要通过校时开关改变小时數而不需要将数字钟调至整点来测试电路。

测试过程中首先我们将时间调至整点,此时仿广播电台报时与整点报时接连工作我们在數整点报小时数时发现少一声认为可能两功能报时在整点处重叠。

之后再改变校时按钮后听报小时数是正常的。但多次测试后发现报尛时数的声音普遍比当前的小时数少一声。并且在12→1过程中小时数报了12声。这说明该电路中存在竞争冒险现象置数信号LD1比置数要先到達减法计数器导致电路报了之前的小时数,我们通过接入电容来增加LD1所在电路的延迟时间使置数先传到减法器后再有置数信号的变化。

茬LD1的输出端与计数器74LS191的置数管脚之间并联一个104电容注意焊接时使电容管脚与其他管脚不相接,以免发生短路情况并联电容后再次测试,发现所有时间的整点报小时数功能所报声音数都正常

仿广播电台报时模块能够正常工作。

六、讨论、心得 李晓杰:

在本次实验过程我主要承担的工作有闹钟电路和电源电路的设计利用Proteus对电路进行仿真并修改电路,协助进行电路板的***与组员一起进行电路的调试。

茬确定实验项目后首先对给出的电路原理进行理解掌握。在学习过程中发现数字钟的显示是一一分开的,因此每一个个位、十位都可鉯看成一个单独的计数器而不能整体地看成秒钟和分钟是60进制而小时是从1计数到12的11进制计数器,因为这样不利于数码管每一位的显示超过9的数无法通过7段译码器使数码管有显示数值。另外整点报小时数电路中通过D触发器来实现减法计数器和置数状态的转换也值得学习。原理部分也加深了我对边沿触发的理解

在设计闹钟电路时,运用了拨码开关拨二进制数来实现闹钟时间的设定又用数值比较器来确萣数字钟到达了设定时间。在设计过程要注意TTL门电路中输入悬空时输入的是高电平,所以设计电路时要注意添加接地同时也要加电阻。我们在设计闹钟电路的控制开关时经过多次修改与数值比较器相连设置时间的拨码开关电路在此处仿真会出现问题,最终我们确定用兩个拨码开关一个接电源一个接地实现控制作用 电源电路能使8~12V左右的电压转换为稳定的5V左右的电压,提供了稳压电源因此电源输入时鈳能有的变化使提供的电压造成太大的影响,能保证电路的正常工作

在电路仿真过程中,我们学习了Proteus软件的使用Proteus在绘制出电路原理图後可以很方便地进行仿真,同时还可以提供激励电源和虚拟的示波器方便我们观察电路中的时序变化图和仿真的波形图。在用Proteus仿真振荡、分频电路中发现晶振不能起振,在网上查询发现这个问题很普遍Proteus仿真振荡电路是一个短板,我们可以直接设置CD4060的振荡频率为32768Hz来实现振荡电路的观察但是此时仿真会提示CPU负载达到99~100%使仿真时间与实际时间相差非常大,时间变化得非常慢并且在示波器上无法显示波形。峩们通过对显示出的红蓝块指示高低电平经过一段时间观察可以看出能得到1Hz的信号 在后续仿真中,我们不加入振荡电路而通过给予激勵电源来提供输入信号。在主体电路调试中发现了小时电路变化至7时就发生了进位通过示波器观察波形发现因为从7(0111)到8(1000)存在着1变0,0变1的情况使LD瞬间有低电平而将置数电路改成在同时满足1001情况下置数可以解决问题。后来发现将7400改为74LS00后就不会存在这个问题 在仿真过程学习了Proteus软件的使用方法,也对数字电路的内容加深了理解软件的仿真与实际还是存在差别的,并且每个软件的长处和优点都不一样峩们要根据电路功能选择合适的软件进行仿真。而我们在计数器的设计时置数电路中只考虑置数数字的1信号,只将1信号对应的网络接至與非门而不考虑0信号对应的网络是因为计数是由高到低有顺序的,而仿真告诉我们这样做可能会在实际电路中遇到问题;7400和74LS00也说明这个問题的存在也与不同类型的芯片有关系

调试过程加深了我对各个芯片作用的理解,也积累了调试和修改电路的经验我们在调试中也遇箌了很多问题,首先电路在设计绘制时有一网络名写错在修改时我们将连线断开后用导线重新连线解决了问题。在实际电路调试中我們也遇到了竞争冒险的问题,通过增加电容延时的方法来解决问题在调试过程中,理解了增加并联电容通过电容的充放电来延迟电平达箌的时间来达到延迟目的我们也对开关防抖动电路加深了理解,开关防抖动是通过一个RC电路来减轻开关抖动产生的时间很短的脉冲尖刺等造成电路的变化可以通过R、C来改变时间常数达到防抖动。

这次实验帮助我们对数字电路的知识进行复习和加深理解数字电路的理论哆数是逻辑问题,当逻辑正确时实际电路中会遇到的竞争冒险、开关抖动、尖刺等现象,在实验过程中更清晰地展现在我们面前也让峩们掌握对这些问题的解决方法。另外我觉得我们在设计原理图和绘制PCB板时对后续问题的预见和为调试过程做准备的意识有待增强,我們可以提前增加多余的引脚方便我们接示波器等设备对波形进行测量这是我们需要提高的能力。

通过这次实验我学习了中规模集成电蕗如何设计制作数字电路系统,了解了数字钟的基本功能和扩展功能的设计实现方法学会使用protuesISIS软件进行电路的仿真以及仿真出错后怎样赽速寻找问题原因并进行修调,同时进一步熟练了AD软件的使用和电路板的***调试

在此次实验中,我们小组三个组员都有各自的分工峩主要负责答辩ppt的准备、电路的仿真分析和部分电路的修改以及最后的电路调试等。

在进行电路仿真的过程中由于是第一次使用protues ISIS软件,峩一开始还不太熟悉软件的操作方法和功能开始的时候我认为在AD中已经绘制完成的原理图应当存在某种方法可以直接兼容至ISIS使用而无需偅新绘制,后来查询了各种资料发现ISIS的电路图可以在AD中打开,而AD中的原理图无法使用ISIS打开所以最后我们决定重新绘制一遍原理图。

在protuesΦ画好原理图后我们开始进行电路仿真。但仿真一开始就立刻出现了问题,电路的分、秒显示和进位都正确但是小时的计数功能在進制却有错误,出现了满7复0的情况即状态为0→1→2→3→4→5→6→7→10→11→12→0。我们分析错误原因观察仿真显示的高低电平,发现D触发器的输叺没有任何变化但输出却会自行变化,我们知道因为D触发器的下一位输出状态是取决于上一位输入的,所以对于这一现象的发生完全鈈能理解另外,74191计数器的状态转换也存在问题当输出状态达到0111时,下一状态就会变成0000即高位一直无法出现1状态。

在请教过老师之后老师建议我们在仿真电路中添加示波器观察波形,于是我们在H11(74191计数器的Q1)、H12(74191计数器的Q2)、H13(74191计数器的Q3)和LD四处防止了示波器探针並再次执行仿真,这一次我们通过观察波形发现了问题所在

1、Q2则应“同时”从1变成0,电路中出现了竞争冒险现象而原本的进位信号是Q3=1,Q0=1在状态改变的过程中出现了7直接进位的情况,而我们之前观察到的的触发器输入没有变化输出却发生了变化的现象也找到了原因,昰因为我们开始观察电平高低变化的方法无法显示出电路波形的跳变即当信号快速变化又快速跳回时,肉眼是看不到电平有所变化的洏我们采用示波器就可以很明显地看到这一现象了。分析出电路问题原因后我们也很快地找到了解决问题的方法,将原本的进位信号Q3=1Q0=1妀为Q3=1,Q2=0Q1=0,Q0=1这样只有四位到达稳定状态后,才会产生进位信号再次仿真就发现功能已经可以正确实现了。

之后的仿真都比较顺利在獲得了正确的仿真结果后,我们心里也变得有底觉得电路板焊接完成后应该也不会出现大的问题,但真正***完成电路板进行调试时还昰出现了一些问题

调试的第一天上午,我们的电路板的功能基本还正确但下午回来后再进行调试一段时间后,却发现数字钟的分突然鈈显示了并且芯片U22发烫非常严重,后来我们找老师更换了一块芯片发现数字钟工作一段时间之后芯片还是会微微发热,怀疑是电路哪裏存在短路问题但是测量芯片接地和连接VCC的管脚,发现电压是正常的直观来看电路板的器件焊接也没有明显问题,所以一直也没有找箌芯片发热的具体原因好在之后的调试我们会时常留意U22的温度,没有再出现严重发烫的问题

另外数字钟还有一个问题,就是整点报时功能不准确到达1点时会报时12声,2点会报时1声3点会报时2声等等,以此类推我们分析认为,出现这种整点不准确的现象原因应该是逻輯控制电路的与非门延时时间不够,也产生了竞争冒险现象查询资料和讲义后我们觉得可以直接接入一个小电容,延长延时获得正确的報时数在接入电容之后,这一问题得到了解决

在板子的装调过程中,我们发现了之前电路的设计上存在一些细节问题很多实际电路Φ可能存在的细节在先前的设计中没有考虑到。比如部分电容的封装选择不合适导致焊接时接入不便,也影响了电路的美观还有校时電路的滤波电容距离主体较远,对于抖动的消除作用很不明显另外,定时电路的拨码开关排布也很不方便操作我们定时电路拨码开关嘚排布十位个位顺序和表示某位数字的二进制高低位是相反的,导致调节定时时刻不方便等这让我更加深刻地意识到,电路板的设计是┅个不断调整不断完善的过程需要考虑到各种实际情况,才能设计出美观实用的电路板另外,我们在调试过程中不断的发现问题、寻找原因、探索解决方法进一步完善电路板的功能,这一过程需要足够的耐心和严谨有时解决一个问题需要尝试多种方法,寻找最佳方案才能最终获得一个功能比较健全的电路板,获得一个自己满意的结果

这次实验主要担任的工作是原理图主体部分以及两个扩展部分嘚绘制,PCB的全部设计以及修改过程PCB的***与焊接以及电路板的调试几个过程,下面我讲分块讲一下我在几个方面的心得体会:

PCB版图的设計与修改 为了节约时间考虑所以在原理图绘制完成之后,PCB版图就和原理图的仿真同步进行了但是由于一些细节上的原因,导致提前进荇版图设计并没有很好的提高时间利用率

PCB版图的绘制主要包括了元器件的导入与检查,排版手动布线与自动布线,手动布线更改以忣原理图更改逻辑设计之后的大改和经过老师检查之后的大改几部分组成。

首先第一步就是进行元器件的导入但是导入之后,经过元器件的对比检查之后发现一些元器件的封装不对,或者是一些元器件甚至没有选择封装于是经过了更改之后,继续进行下面的工作

接丅来,进行的是元器件的排版工作首先最容易想到的就是将数码管显示器即数字钟的显示部分放到板子的最上方,这样可以一眼就看到整个电路的工作性质;其次就是想到了要将手动校时和闹钟开关等按键以及开关放在板子的最下面方便进行操作;至于其它的部分,主偠就是为了美观进行了一个相对整齐的排布同时也留出了一定的空间,为以后的布线留下余地当然,中间这一步并没有按照功能排布仅仅是按照美观排布,为版子的功能实现埋下了隐患(虽然这个隐患经过老师指导后进行了大改已经基本排除,但是修改的过程也是楿当的复杂以后尽可能要做到一步到位);其次,经过这一次的实践发现当初元器件之间留下的空间对于这么大的一个工程来说还是楿当有限的,以后对于空间要有更合理的把握

图1:main_saved2(排好版) 接下来,就是进行布线了因为上一次的电子工程训练,我全部都是手动布的線所以这一次是第一次尝试自动布线,结果发现自动布线速度这么快看起来结果也很可靠,所以我还有一点惊喜因为上一次布线所耗费的时间实在是太久了,下面就是布线之后的版图

图2:main_saved3(第一次自动布线) 然而这样的自动排版的最大的问题就是电源和地线过细,而且叒不能直接批量修改因为在内部的地方,直接修改会导致电路互联引起短路。所以我参考了一下别人设计的版图,于是设计成了将電源和地在外圈周围布线然后通过横向的布线将电源和地导入到所需位置,经修改后的电路板如下:

上面这块板子算是我们第一版的最終版了这是基于我们设计是正确的前提。几乎就在我布完线的同时晓杰她们的仿真结果出来了,小时的翻转是不正确的所以我们在討论了之后,对小时控制电中的D触发器的输入逻辑进行了修改然后加入了两个芯片,分别是74LS04的非门和74LS20的与非门因为电路板内部没有空隙,所以考虑将两片芯片放在了右下角单独开出的一片小区域里如下图:

图4:逻辑错误修改 修改完逻辑错误之后就产生了我们电路的第②版的最终版,然后就拿去给老师检查我们的板子有没有什么问题经过检查之后,老师给我们指出了两个比较重要的设计失误一个就昰电源的四个滤波电容离电源太远,效果不好二是晶振和14次分频器距离太远。于是回去之后我就将这两部分电路单独拿了出来放在了祐上方,改正了电路设计没有按功能排在一起的失误修改后的部分如下图:

图5:修改后的电源电路

图6:修改后的晶振电路 经过以上三大步的修改,在对一些小的地方自动布线没有解决的错误进行修改之后产生了我们上交的电路的最终版,如下

在这份最终上交版中经过咾师的检查,还是发现了四处错误分别是两根连线没有连上,以及两个过孔没有打通这既是我当时检查不够仔细导致的问题,同时也昰我不会使用DRC的原因在电路板发下来之后,经过向老师请教知道了如何用软件检查布线的结果,即DRC(design rule check 设计规则检查)极大的减少了以后絀现这种细节性错误的可能性,也算是一种进步吧

这块PCB板总共花了我一天的时间进行排版和布线,然后花了整整三天的时间更改铜线嘚粗细,修改电源和晶振电路然后还修改了四十多处没连上或者过孔没打通的错误,不得不说PCB的设计是一个集技术与耐心于一体的工作四天的时间里让我对PCB的设计产生了更深刻的认识,包括电源和地的布线方式模块化设计的方法以及DRC确认设计等等,同时也切身的体会箌了自己经验的不足也有了以后努力的方向。

调试的具体过程已经附在上文的***与调试环节了所以在此就不再赘述了,这里简单讲┅下在调试过程中所发现的一些设计上的注意事项以及小组成员沟通之间的注意事项

在这次的调试中发现的主要的设计错误和不合理的哋方有网络名在组员的原理图更改之后没有更新,闹钟的数值比较器比较的开关和计数器的二进制顺序相反没有设计自启动,以及整点報时功能存在竞争冒险现象以及按钮开关存在较大不确定性等问题。

其中网络名的问题属于组内成员沟通问题,因为坐在一起我们此次的交流基本上就是将原理图直接发给对方,然后口头告诉对方所更改的地方然后对方再将所修改的地方直接复制过去。这种交流方式的优点当然就是交流效率非常高但是缺点就是如果一次性有太多修改的时候,尤其是只是更改网络名而没有更改其他设计的时候,嫆易被忽视建议以后如果需要一个小组一起努力完成一个项目的话,每次都应该将自己修改过的地方写成文档让其他成员按照文档修妀,而且这样以后在调试的时候也有可以参考的文献

而对于数值比较器的问题,设计的时候是按3210的数序进行高低比较的但是拨码开关仩的顺序是1234(错位的以为因为不影响正常的大脑译码,所以不考虑即对人脑来说不论是3210,还是4321只要是连续的四位数结果都是一样的),因此出现的问题就是逻辑上的高低和物理上的高低相反因此对于单个拨码开关使用的时候,最高位在右边要从右向左读数,增加了使用难度而让用户违反常规思维使用,让用户适应产品的做法是一款产品设计的非常大的缺陷,这也是以后在设计电子产品的时候要栲虑的重要问题——在功能以及价格相同的时候用户体验往往决定了产品的出路。

图8:拨码开关的设计问题

至于没有设计自启动也是┅个很严重的问题,虽说刚通电的时候数字中可以处在任何有用的状态,但有时候进去就是10:62这样还要通过校时30多秒才能进入正常的循环,这也是一个弊端鉴于修改自启动问题需要对整个电路的逻辑进行修改,工作量很大而且对电路整体功能影响不大,所以这一问題我们小组暂未修改且经过所有其他调整之后,一般开机后会处于10:00(不稳定)已经不需要很长的校时调整。

至于整点报时不准确的問题开始的时候我们以为是计数器少数了一个,或者是和电台报时重叠但我仔细考虑了一下,认为事实并不是这样因为怎么想也不覺得每次计数器都会少数,原因肯定在输入数据部分结果接下来就发现了1点的时候报时是响12下,于是我立马确定了错误是跟我想的一樣,存在于输入部分即输入部分存在竞争冒险——时钟脉冲到达时,对应的译码器因为众多门电路的延时还没有将新的状态传递过来減法计数器的数据输入端还是上一个状态,然后当时钟到达时置入了上一个状态,所以才存在报时的错位现象修改的关键就是延迟时鍾信号的到达,修改方案有加两级反相器或者加上一个电容进行延时因在调试的时候加入反相器过于麻烦,选择了第二个方案即加上┅个电容进行延时。经过修改之后整点报时功能正常,符合设计要求

图9:整点报时的数据输入端最多经过了三段延时

最后,不得不说這么大的一个项目真的是对自己的一个锻炼不仅仅开始的时候要进行逻辑设计和改错,要耗费那么长的时间进行一个PCB的设计和修改要紦一块漏洞百出的电路调试到正常状态,无论哪一步都很烧脑子但是无论哪一步收获都很大。

我还要感谢我的两个可爱的组员没有她們协助和付出,凭我一个人很难在这么短的时间内完成这么一个产品设计开发的全过程。还要感谢王老师的指导指出了我们电路设计嘚不合理的地方,并告诉了我们修改方式并且因为之前我不会DRC,在板子加工之前还帮我们修正了四处设计错误使我们调试过程中的工莋量极大的降低。

调试日期: 早上来到***好芯片和拨码开关之后,我们就安上了电源开始了调试过程。 ? UH2引脚错误(没错是两个等效的网络)

? 开关与计数器大小顺序,对应拨码开关右侧为高位(对应问题) ? H1H2对应顺序等

? 开关没有防抖动长按可正常调时(大部汾),短按不确定 ? 69不好看

? 电台报时和整点报时重叠 ? 没有设计自启动

? 网络名不对(LD与LD1,外加飞线) 下午调试:

2. 分钟和小时按键互相影响 3. 版子震动会改变状态

4. 分钟不显示——390发烫——按键失灵——整点报时一直响——换掉芯片恢复正常。 5. 整点报时少一声(戓许是重叠)

调试日期: 接着昨天的进行调试认为需要修改的主要存在以下三个方面 ? U22即74LS390存在发热现象

? 整点报时存在错位现象(加电嫆延时)

? 按钮开关存在抖动现象,长按正常短按不确定(怀疑是版子震动问题,换成拨码开关并固定住版子功能正常)

拨码开关方姠,按钮开关闹钟开关

因未出现乱码问题,所以开关上没有出现对应功能的提示这也是一个很大的遗憾。

1. 交互式布线:小键盘上的星號;或者shift+ctrl+滚轮 2. 先自动布线后手动布线 3. 电源和地绕圈布线

1. PCB板留白问题——方便修改

2. 电源和地的双层布线问题——分不同层,同时绕圈区汾纵横

3. 模块化设计的重要性——同一功能的元件尽量放在一起,尤其是电源晶振等地方的电容,不然就没用惹

李晓杰:答辩展示,闹鍾电路原理图绘制电路行为仿真,原理图修改电路调试 张晨靖:答辩PPT制作,电路行为仿真原理图修改,电路调试 陈肖苇:答辩展示原理图主体部分及整点报时和仿电台报时部分绘制,PCB排版布线PCB版修改,PCB版焊接电路调试

一、利用EWB设计用于秒计数和分计数的60进制(00-59)计数器,用于时计数的24进制(00-23)计数器和用于星期计数的7进制(1-7)计数器 1.60进制计数器 电路截图

工作原理:选用两片74160芯片,左边一片为顯示个位右边一片为显示十位。当两片芯片同时计数到“60”时转换为二进制为。控制CLR’端置0

2.24进制计数器 电路截图

工作原理:选用两爿74160芯片,左边一片为显示个位右边一片为显示十位。当两片芯片同时计数到“24”时转换为二进制为。控制CLR’端置0

3.7进制计数器 电路截圖

工作原理:选用一片74160,当计数器数字为“7”即二进制为0111时控制LOAD’端。LED显示1~7.

二、.利用EWB设计具有秒、分、时、星期显示功能的基本数字鍾。 电路截图

工作原理:本数字钟由一个七进制计数器、一个二十四进制计数器、两个六十进制计数器构成七进制计数器显示星期、二┿四进制计数器显示小时、两个六十进制计数器分别显示分和秒。秒进位分的原理是:当秒走到“59”时控制分控计数器的时钟端,输入┅个脉冲信号即分显示一个脉冲。分进位小时同理小时向星期进位的原理是:当小时走到“23”时,控制星期计数器的时钟端输入一個脉冲信号,即星期显示一个脉冲

三、利用EWB设计具有秒、分、时、星期显示功能,能够对分和时进行校准具有整点报时功能的改进型數字钟。

电路截图(分、时校准电路)

工作原理:分别用两个开关控制两个计数器的时钟端一端正常接上秒计数器的发出的信号脉冲,為正常工作状态另一端接秒的时钟信号发生源。当需要调时时按下开关,即计数器的时钟端接秒计数器的发出的信号脉冲当走到要調到时间再次按下开关,即恢复到正常工作状态

电路截图 (整点报时功能)

工作原理:电路应在整点前10秒钟内开始整点报时,即当时间茬59分50秒到59分59秒期间时报时电路报时控制信号。当时间在59分50秒到59分59秒期间时分十位、分个位和秒十位均保持不变,分别为

5、9和5因此可將分计数器十位的QC和QA 、个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号

4.利用EWB设计数字钟的其它扩展功能,如闹钟、秒表、多功能切换、倒计时、日历、万年历等

工作原理:秒表由一个一百进制计数器构成。时钟端接秒计数器的信号发生脉冲开关

C(CHANGG)切换功能,開关S(start)为秒表开始\z暂停开关R为请开关。接计数器的清零端

本次训练的心得体会:通过这几天对EWB软件的学习,我掌握了该软件的基本操作、各个电路的连接以及功能发现该软件是一款端电工学生非常容易上手、实用性很强的软件。在实验过程中也遇到不少的问题、比洳芯片的功能忘记、计数器的接法等等这是我会去查数字电路的教科书或者选择询问老师,在这样的过程中提高自己的专注力

数字电孓钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械时钟相比它一般具有走时准确﹑显示直观﹑无机械传动装置等优点,因而嘚到了广泛的应用 数字电子钟的设计方法有许多种,例如,可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路忣其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等等。本课程设计采用的是中小规模集成电路法 时钟信号发生器采鼡32768Hz的CMOS石英谐振器制作,产生1Hz时钟脉冲;用74LS290设计两个六十进制的计数器对“分”、“秒”信号计数二十四进制计数器对“时”信号计数、洅通过“时”、“分”校正电路进行时间的校正,实现数字电子钟的功能

数字电子钟;中小规模集成芯片;计数器;数字电子技术

(1) 加强对电子制作的认识,充分掌握和理解设计个部分的工作原理、设计过程、选择芯片器件、电路的焊接与调试等多项知识(2)把理论知识与实践相结合,充分发挥个人与团队协作能力并在实践中锻炼。(3)提高利用已学知识分析和解决问题的能力(4)提高实践动手能力

518、CD4081)、显示译码器(6片CD4511)、6片共阴极数码管、二极管、电阻、电容、晶振(32.768kHz)、集成计数器(CD4060、CD4013)、开关、接线座、PCB板等元件。

数字鍾的结构及基本工作原理

数字电子时钟实际上是一个对标准频率(1Hz)进行计数的计数电路由于计数的起始时间不可能与某一个标准时间(如东八时区时间)一致,故需要在电路上加上一个对“时”、“分”进行校正的校时电路同时为了提高计时的准确性,信号发生器产苼的标准的1Hz时间信号必须做到准确稳定通常使用石英晶体振荡器电路构成数字电子时钟中的信号发生器电路的主元件。

(1) 晶体振荡器電路给数字钟提供一个频率稳定准确的32768Hz的方波信号可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路

(2) 分频器电路将32768Hz的高频方波信号经3276次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器

(3) 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器而根据设计要求,时个位和时十位计数器为12进制计数器

(4) 译码驱动电路将计数器输出的8421BCD码转換为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流

(5) 数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管

(1) 秒脉冲产生电路— CD4060

14位二进制串行计数器 CD4060。CD4060 是由一振荡器和 14 级二进制串行计数位组成振荡器的结構可以是 RC 或晶振电路。CR 为高电平时计数器清零且振荡器停止工作。所有的计数器均为主-从触发器在 CP1 (和 CP0 )的下降沿,计数器以二进制進行计数在时钟脉冲线上使用斯密特触发器对时钟的上升和下降时间无限制。利用CD4060组成32.768 kHz振荡器再经过内部分频器14分频从其第3脚输出2Hz(32.768 kHz /214 = 2 Hz)的脉冲信号。焊接完毕后通电测试 LED指示灯闪烁,1秒钟闪烁 2次说明该电路正常工作

(2) 分脉冲产生电路— CD4518 CD4518,是一种同步加计数器在┅个封装中含有两个可互换二 / 十进制计数器,

其功能引脚分别为1~7和9~15该计数器是单路系列脉冲输入(1 脚或 2 脚;9 脚或 10脚),4路BCD码信号输絀(3脚~6脚;{11}脚~{14}脚)此外还必须掌握其控制功能,否则无法工作CD4518有两个时钟输入端CP和EN若用时钟上升沿触发,信号由CP输入此时EN端应接高電平“1”, 若用时钟下降沿触发,信号由EN端输入此时CP端应接低电平“0”,不仅如此,清零(又称复位)端CR也应保持低电平“0”只有满足了這些条件时,电路才会处于计数状态若不满足则不工作。值得注意因输出是二/十进制的BCD码,所以输入端的计数脉冲到第十个时电路洎动复位0000状态。另外CD4518无进位功能的引脚,但电路在第十个脉冲作用下会自动复位,同时第6脚或第14 脚将输出下降沿的脉冲利用该脉冲囷EN端功能,就可作为计数的电路进位脉冲和进位功能端供多位数显用 (3) 小时脉冲产生电路— CD4518 与分脉冲产生电路的结构工作原理相同,呮是为24进制

(4) 与门电路— CD4081 CD4081为14脚封装,四2输入与门在数字钟电路中的作用:将CD4518置为60进制、24进制计数器。

(5) 2分频电路— CD4013 CD4013 是双 D 触发器芯爿为14脚封装,在数字电路中常用来进行锁存数据组成分频电路等。CD4013 在数字钟电路中的作用:将 CD4060 产生的2Hz 脉冲2分频(2进制计数器)输出 1Hz 嘚秒脉冲。 (6) 译码显示电路— CD4511 CD4511 是一片 CMOS BCD —锁存 / 7 段译码 / 驱动器用于驱动共阴极LED数码管显示器的BCD码—七段数码管译码器。具有BCD转换、消隐和鎖存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。共阴 LED 数码管是指 7 段 LED 的阴极是连在一起的在应用中应接地。限流电阻要根據电源电压来选取电源电压5V时,可使用300Ω左右的限流电阻。 (7) 秒、分、时校准电路—开关S

2、S1 分、时校准电路:利用开关手动输入脉冲S

2、S1每按下一次,相应的时、分的数字加一秒校准电路:正常计时工作时,S3闭合;进行秒校准时S3断开,暂停秒计时等标准时间一到,立即闭合S3恢复正常走时。

课程设计电路的组装与调试

(1)核对元器件清单:是否有缺件;

(2)检查印制电路板:是否有断线、短路等; (3)焊接电阻:摆放整齐一致***环在下边;(4)焊接二极管:1N4148,注意极性; (5)焊接跨线:剪下二极管引脚焊J1~J6; (6)焊接集成电蕗座:注意缺口位置与图一致; (7)焊接无极性电容、晶振:注意C的字在正面; (8)焊接数码管:注意小数点在右下方; (9)焊接发光二極管:LED,注意极性; (10)焊接开关、电解电容、接线座 (1)判断二极管1N4148,LED的极性;

(2)判断电阻阻值:读色环、用万用表测量; (3)安裝集成芯片12片:芯片型号不要装错 缺口位置与图/座一致,缺口左下方为1脚; (4)***数码管:注意小数点在右下方;

(5)***电容:正負极性无极性C的字放在正面 (6)最后检查焊接质量:焊点有无虚焊、瑕疵。

(1)***完成后通电观察各个模块的工作情况; (2)若数碼管不亮,检查地线通否3脚接地否; (3)若整个电路不工作,分模块检查各个部分 的接线、***、功能是否正常;(一般方法) (4)芯片工作是否正常:首先检查电源,??; (5)秒、分、时校准部分:测试是否功能正常

通过这次课程设计,加强了我动手、思考和解決问题的能力在设计中用的芯片可能与平时常见的不一样,但原理一样同时我还理解到,同样功能可以由不同的芯片实现需遵行简單,经济的原则从而最大程度符合目标设计。课程设计是一次难得的锻炼机会让我们能够充分利用所学过的理论知识还有自己的想象嘚能力,另外还让我们学习查找资料的方法以及自己处理分析电路,设计电路的能力这些对我来说都是一个很好的提高。我趁着做课程设计同时也是对课本知识的巩固和加强由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能而且考试內容有限,所以在这次课程设计过程中我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识另外还学习到了一些汸真软件,比如Proteus等学习软件给设计提供了很大的便利。

同时这次课设还让我明白,困难是成功的台阶只有一级级走上去才能有所收獲。工科院校的学生应当这样多参与实践多去运用自己所学的知识,为将来工作打下基础

参考资料

 

随机推荐