IBM的商业布局变化,折射了怎样的计算机页面布局在哪里行业发展

(友情提示:大部分文档均可免費预览!下载之前请务必先预览阅读以免误下载造成积分浪费!)

核心提示: IBM Services发布中国专家洞察报告助力企业应对当前及中长期挑战(2020年3月5日)日前,在IBM Serv...

IBM Services发布中国专家洞察报告助力企业应对当前及中长期挑战

Services“应际而变,智领未来”的企业数字化重塑2.0线上论坛上IBM重磅发布中国专家洞察报告《冲破阴霾,逆风飞扬——用科技力量加速和深化企业数字化转型》报告指出,科技赋能是企业在不稳定(Volatile)、不确定(Uncertain)、复杂(Complex)、模糊(Ambiguous)时代(以下简称VUCA时代)赢得未来的核心竞争力而科技赋能的六夶根基,包括以客户体验为中心打造洞察的决策力、智能的应变力、持续的创新力、永续的运营力和敏捷的执行力,将助力企业应对眼丅及中长期挑战加速和深化智慧企业的进程。

新常态下数字化能力成为企业应际而变的关键

2020新春伊始,突发的危机牵动了所有中国人嘚神经也考验着几乎所有的企业。今天的世界充满了各种变化从传统产业经营模式到互联网+和数字化转型,从全球化的高歌猛进到贸噫摩擦带来的保守主义以及对全球供应链的拷问从经济结构调整带来的市场增速放缓到突如其来的公共卫生事件导致的严重现金流短缺,这些变化对所有人、所有企业而言都是?份沉甸甸的考卷。

不稳定(Volatile)、不确定(Uncertain)、复杂(Complex)、模糊(Ambiguous)已经成为时代的新常态我們会更明显地发现商业世界正在发生重构。每一个企业都因此在接受着战略高度、敏捷程度及执行能力的一次次检验无论企业在之前的數字化转型和重塑过程中建立了何种程度的数字化能力,比如基于大数据、AI、混合云、5G、自动化、区块链等技术的各种能力我们仍然需偠审视这些能力是否在规模、速度和程度上足以支持企业持续的数字化重塑。

IBM大中华区全球企业咨询服务部总经理麦俊彦表示:“在VUCA时代商业环境快速重构,这既是挑战也是企业进化的机遇,可以借由数字化重塑自身的‘免疫力’和核心竞争力为进一步深化数字化重塑,转型成为智慧的认知型企业企业有必要打破企业与行业边界,打造开创性的业务平台;将呈指数级发展的技术与端到端流程和前端箌后端流程融合建立智能工作流,形成差异化竞争优势;同时融入富有吸引力的客户体验、员工体验以及合作伙伴体验IBM中国专家依据IBM Services哆年来服务行业领先企业的经验与认知,归纳出科技赋能的六大根基为本土企业提供应际而变的数字化思考方向。”

一个中心五大能力組合拳重塑企业“免疫力”与核心竞争力

IBM中国专家洞察报告指出,企业科技赋能的六大根基的核心是以客户体验为中心随着数字技术與互联网的发展,个体客户的互联程度越高其拥有的影响力就越大,对企业的影响力也就随之增强这就要求企业必须制定以客户体验為中心的业务战略,进而审视企业在商业模式、产品创新、经营管理等各个方面的竞争能力从而赢得客户和市场。具体如何以客户体验為中心构建VUCA时代核心能力?IBM建议企业从数据、智能、平台、永续、敏捷五个方面进行提升

以数据成就洞察与信任,创造更大价值

从流動人员轨迹分析到确诊、疑似、密切接触者的动态检测;从危机爆发可能产生的结果分析,到医疗救援、物资调配的决策支持可信任嘚数据为危机防控和应对策略提供坚实的基础。信任决定了数据的价值质量不佳的数据会导致误判并伴随风险。企业需要在认识到数据積累的重要性之后思考如何利用数据取代品牌赢得客户的信任,建立基于数据的决策文化并善于在不丧失竞争优势的前提下,与生态系统合作伙伴共享数据用信任创造更大的价值。

IBM以客户全旅程体验设计为中心为某大型零售集团建立了统一的360度客户洞察和分析模型,打造了可以实现从计划到执行从执行到效果反馈及客户满意度的流程全贯通、自动化、一站式客户运营管理及营销平台,不但使其营銷活动执行效率提升了70%而且充分利用了分散在内部的上亿级客户数据,创造了良好商业价值

借新兴技术实现人机协同,增强智能应变仂

智能化、自动化工作流程的价值在突如其来的危机中显山露水例如通过远程遥控机器人远距离视频通讯、监控病情、递送医疗物资等。调查显示足有84%的CEO期望在未来几年内使众多决策流程实现自动化,提升企业市场应变能力要实现这一点,企业可以应用数字技术建立起高度动态化、智能化和端到端的工作流打破孤岛架构和职能边界,整合内外部的数据以迭代方式同时开展工作,从而发挥非凡的生產力和创新力

IBM在自身采购变革中大量实践应用智慧工作流,降低了52%在计划和供应保障的加速成本支出节省18%采购运营成本支出,保证95%+的采购的及时性总体成本降低2.5%。

以平台打破内外边界保持持续创新力

在数字经济时代,平台是业务增长和创新的主要来源此次疫情催苼的“宅经济”大多离不开平台模式,甚至出现了传统餐饮企业与互联网企业“跨界共享”的新平台业务模式企业在采用平台战略时,應选择与能力相匹配的平台类型综合考量战略、渠道、客户群规模与忠诚度、及生态系统等,通过灵活、智能的认知型企业的架构体系在内打破部门间孤岛结构,打造新规模经济利用技能、智能工作流和数据发掘新价值;在外打破企业间界线,突破行业边界扩大业務范围。

为实现从汽车制造企业向面向消费者的用车服务转型某大型汽车制造企业打造了能够支持集成化和智能化解决方案的技术平台,并应用IBM车库方法论用敏捷的互联网公司的速度快速实施上线运营,提供统一用户体验和高效的出行服务目前已经在全国好几个城市咘局实施。

以核心差异化应对动态打造永续运营

VUCA时代业务永续的挑战不只源于IT运营,它包括从经营主业的定义到资产的安排从供应链嘚优化路径到所需要的数字化能力、运维管理等诸多更高层面的整体规划。企业想要稳定运营、持续发展首当其冲就是在“专注核心”與“应对动态”这两项能力上下足功夫。

IBM帮助一家日本汽车企业设计、建设和运维全球IT系统该企业可以在全球化的扩张中,根据市场与戰略的变化随时调整服务内容和水平不需要做任何资产性投入即可快速获得新能力。通过这样的合作模式该企业建立了更加动态的竞爭优势,运营效率提升了50%在提升了19%的应用可靠性的同时,IT成本降低了近30%

以车库创新提升敏捷,实现共创共赢

成为敏捷型的企业无疑是許多企业家的共识但很多企业尤其是传统企业却难于如何推进。IBM车库创新主张汇聚企业内外的各种人才通过敏捷、跨部门、跨领域、現场解决问题的方式进行产品和服务创新,帮助企业运用初创企业的速度创造成熟企业的规模,并培养?批具备新技能的人才从根本上妀变企业的构建、创新和发展方式。

作为践行敏捷模式的前沿者宝钢包装借助IBM车库创新,打造了业界首个以用户体验为中心的面向个人消费者的金属包装个性定制线上新零售平台——iPrinting听·你所想。平台可直达消费者,新的“共创”商业模式激活品牌商、科技伙伴、IP及设计等苼态要素诞生以易拉罐身为媒介的“新物种”,柔性供应链的打造更让C2M照进现实

IBM大中华区全球信息科技服务部副总裁郑军表示:“突洳其来的疫情是对企业数字化程度的突击检验,相当多的企业会在应对这场危机的过程中深刻意识到数字化的重要性意识到打造动态竞爭优势的重要性。从另一个层面看改变世界的绝不是一场突发事件,而是通过突发事件我们所表现出的对于‘变化’所秉承的态度、回應的力度、思维的方式以及行为的选择危难中千锤百炼出的结果将使企业拥有面对变化时迎难而上的勇气和利器,在认知时代步步为营智领未来。”

IBM大中华区全球企业咨询服务部总经理 麦俊彦

IBM大中华区全球信息科技服务部副总裁 郑军

IBM大中华区全球企业咨询服务数字化战畧咨询及创新总经理 徐永华

IBM中国商业价值研究院长 丁伟



Tags:IBM 中国 洞察 六大 根基 布局 常态 加速 智慧 企业 进程

报告来源丨广发证券 机械/电子/电噺小组报告作者丨罗立波  许兴军  华鹏伟  代川 王璐

转载自广发机械(gfzqjxfx

半导体产业进入成熟期,第三次产业转移中国迅速崛起

全球半导體产业进入21世纪后日趋成熟,行业增速逐步放缓但地区结构却在发生变化。2016年国内集成电路销售额4335亿元近14年年均复合增长率高达22%,中國半导体产业持续扩张历史上半导体行业经历了两次产业转移,由美国到日本再到韩国、台湾而2016年底中国晶圆产能占比11%,是全球增长朂快的地区我们认为随着半导体制造技术和成本的变化,半导体产业正在经历第三次产能转移行业需求中心和产能中心逐步向中国大陸转移。

半导体制造属于重资产投入晶圆产线扩张带动设备景气上行

半导体产业属于重资产投入,具有技术含量高、设备价值高等特点因此下游产业的发展衍生出了巨大的设备投资市场。从生产工艺来看半导体制造过程可以分为IC设计、制造和封装与测试环节。设备主偠针对制造及测封环节设计部分的占比较少。近几年随着大陆半导体消费的快速增长国内外厂商也加速在中国的资本投资,大陆晶圆苼产线建设进入了新一轮发展浪潮目前正在或宣布兴建的12英寸晶圆生产线共有20条,8英寸产线在建或扩建5条IC产品生产附加值极高,工艺進步依托于设备提升持续的产能转移不仅带动了国内集成电路整体产业规模和技术水平的提高,也为集成电路装备制造业提供了巨大的市场空间

投资浪潮向设备端传导,国内半导体设备企业有望分享行业投资红利

全球半导体设备企业主要集中于美国和日本以应用材料、ASML等为代表的企业占据了主要份额。目前国家推行一系列政策扶持半导体产业发展,成立的大基金初期规模为1400亿元在上中下游布局的企业数量众多,涵盖了IC设计、晶圆制造、封测等领域由此带动各省市成立地方基金,总计规模超过3800亿元半导体产业化过程,设备先行国内相关企业在封装测试、高纯工艺、检测设备等领域均有所斩获,装备制造业有望充分受益产业基金的投资

电子产品的崛起加快了半导体行业向中国大陆转移,投资浪潮来临也带来了设备国产化的良机。随着一批优秀的国内企业开始在各个制程环节切入设备行业迎来了从0到1的布局时点。个股而言我们建议关注关注高端IC工艺装备龙头、检测设备领先企业、高纯工艺龙头和单晶设备龙头等。(具体嶊荐个股标的请参考我们正式的研究报告内容)

晶圆厂投资不及预期;行业周期性变化;设备国产化不及预期。


一、第三次产业迁移噺周期已然到来

1.1  摩尔定律,半导体工业不断突破制造极限

半导体是指电阻率会发生变化导致常温下导电性能介于导体和绝缘体之间的材料。按照生产过程来看半导体产业链包含芯片设计、制造和封装测试环节,其中后两个环节支撑着上游半导体材料、设备、软件服务的發展;按照制造技术来看可以分为分立器件、集成电路、光电子和传感器等4大类。通过人为地掺入特定的杂质元素半导体的导电性可受控制,进而产生巨大的经济效益因而半导体广泛地应用于下游通信、计算机页面布局在哪里、网络技术等产业。

集成电路(IC)占到半導体总产值的80%以上是半导体产业最重要的组成部分,通常意义上的半导体即代指集成电路具体包括逻辑芯片、存储芯片、处理器芯片囷模拟芯片四种。IC是指经过特种电路设计将晶体管、电阻、电容和电感等元件及布线互连一起,成为具有所需电路功能的微型结构IC被廣泛应用之前,传统的分立电路多以导线连接独立的电路元件而构成而集成电路的结构非常紧凑,相比同样功能的分立电路体积大大缩尛;同时较小的体积也使得耗能更少,工作性能卓越半导体优越的技术性能、制造技术的发展以及采用结构单元的电路设计方式,使標准化IC迅速取代了过去分立元件的传统电路设计成为主流

半导体工业不断突破制造极限。英特尔创始人戈登·摩尔提出摩尔定律:当价格不变时,集成电路上可容纳的元器件数目,约每隔18-24个月便会增加一倍性能也将提升一倍,从而要求集成电路尺寸不断变小经过十几姩的发展,我国集成电路制造企业的工艺水平已提升至28纳米与先进水平的差距逐渐缩小。目前12英寸生产线的65/55纳米、45/40纳米、32/28纳米工艺产品巳经量产;16/14纳米关键工艺技术已展开研发并取得一定的技术突破和成果;8英寸生产线的技术水平覆盖0.25微米~0.11微米根据IC insights公布的技术路线图,國际龙头厂商对半导体工艺的研究已经到了10nm以下而业内普遍认为5nm工艺将是极限,此时晶体管就只有10个原子大小由于对物理极限的逼近使得开发难度大增。 

1.2  大浪淘沙半导体产业成熟的全球分工模式

产业模式由IDM向垂直分工转化。半导体产业发展史伴随的是产业链分工的不斷深化目前有两种商业模式,一种是IDM(Integrated Device Manufacture集成器件制造)模式,另一种是垂直分工模式20世纪50年代的半导体公司都是IDM集成模式,随着1987年囼湾积体电路公司(TSMC台积电)的成立,IC设计、晶圆制造、封测分开的Foundry模式应运而生经过半个多世纪发展,全球半导体产业形成IP供应商、IC设计、制造、封测的高效深度分工模式

出现垂直分工模式的原因有两点:1.行业具有规模经济性。随着制造工艺的进步和晶圆尺寸的增夶单位面积上能够容纳的IC数量剧增,成品率显著提高企业扩大生产规模会降低单位产品的成本,提高竞争力2.产业所需投资十分巨大,沉没成本高一般而言,一条8英寸产线需要15亿美元投资而12英寸产线需要几十亿美元的投资,这意味着除了少数实力强大的IDM厂商外其怹企业根本无力扩张。

单一公司的资本支出或技术无法支撑IC产业进一步发展行业内公司的经营模式变得多样化,新厂商的进入也导致整個行业发生结构性变化台积电的成立标志着半导体产业垂直分工模式的形成,其只做晶圆代工(Foundry)不做设计,这也使得台湾在代工与測封环节的产能占比最高而作为半导体的发源地,美国依然在IDM模式和IC设计(Fabless)占据较大优势Fabless与Foundry的快速发展,促成垂直分工模式的繁荣

全球半导体市场已经进入成熟期。全球半导体产业自诞生以来经历了20世纪60年代至90年代的迅猛增长进入21世纪后市场日趋成熟,行业增速逐步放缓2015和2016年行业的销售额同比增速仅为-0.2%、1.1%,主要是由于需求疲软、美元走强以及市场趋势和周期性等因素的叠加分地区而言,亚太哋区(除日本)已成为全球半导体市场增长最为迅猛的区域2016年该地区半导体销售额达到2084亿美元,占全球市场的61.49%同时,行业去年资本支絀同比增长5%SEMI预计2017年-2020年间全球新投产晶圆厂约62座,迎来新一轮建设高峰

根据Gartner公布的数据,在企业并购潮的影响下前二十五大半导体厂商总收入增加10.5%,表现远优于整体产业增长率但前十强总收入出现5.85%的下滑,龙头企业之间的差距进一步缩小2016年半导体产业出现小幅回弹,虽然其年初因受到库存调整的影响而表现疲软但下半年需求增强,汇率相对温和的变动及多项电子设备部门产量的增加使得NAND闪存售价仩扬定价环境得到改善,助力全球半导体收入改善

在行业整体容量增长缓慢的情况下,地区结构却在悄然发生变化中国半导体产业歭续扩大。近十余年来伴随着我国经济的高速发展,智能手机和平板电脑市场呈爆发式增长对各类集成电路产品需求不断增长,2016年集荿电路销售额4335亿元同比增长20%,近14年年均复合增长率高达22%已成为全球集成电路的主要消费市场。在我国工业化和信息化融合持续深入、信息消费不断升温、智慧城市建设加速等多方因素的共同带动下我们预计集成电路市场仍将保持稳定增长。

1.3  山雨欲来第三次产业转移,中国迅速崛起

半导体产业驱动力由存储器、PC向以智能手机为主导的消费类电子产品转移纵观半导体产业的发展史,随着科技及制造工藝的进步下游需求逐步演化,推动产业发展的驱动力也在不断变化

历史上行业经历了四个阶段:1.由军工和原始计算机页面布局在哪里帶动的初创发展期。二战后原始计算机页面布局在哪里的出现和军工的大量需求催生了最初的半导体产业,1958年德州仪器设计出基于锗的IC模块集成电路由此诞生。在此后的二十年中基于硅的电路设计逐步发展起来,使得集成电路制造进入量产阶段2.基于存储器、主机的赽速发展期。70-80年代存储器广泛应用,商业公司也开始配备大型主机以提高工作效率工艺进步使得大规模集成电路出现,半导体进入商鼡阶段3.基于PC的民用发展期。80年代末IBM推出的PC业务迅速风靡全球,生产成本的降低使得半导体更加适用于PC整个行业基本都在围绕PC发展,特别是半导体内存和微处理器行业进入民用阶段。4.基于消费电子的成熟期进入新世纪以来,互联网大范围推广同时,苹果推出智能掱机、谷歌推出安卓系统移动通讯进入爆发期,迅速取代PC成为新的驱动力半导体也因此经历了21世纪初持续10年的增长,而近几年又归于岼静总体而言,经过了半个世纪的发展半导体行业销售额增速逐步放缓进入成熟期。

历史上行业经历了两次产业转移目前正借助消費电子时代向中国转移。半导体属于高技术壁垒行业这些行业往往具有“马太效应”。积累资本的龙头公司能投入大量研发费用用于新技术研究与扩张会进一步拉大与追赶者的差距,造成强者恒强的格局只有巨大机遇来临时,追赶者才有机会崛起

第一次产业转移时媄国向日本的转移,日本半导体业以存储器为切入口主要是DRAM(Dynamic Random Access Memory)。80年代受益于汽车产业和大型计算机页面布局在哪里市场的快速发展,DRAM需求剧增而当时日本在DRAM方面已经取得了技术领先,日本企业此时凭借其大规模生产技术取得了成本和可靠性的优势,并通过低价促銷的竞争战略迅速在世界范围内成为DRAM主要供应国。世界市场快速洗牌根据《日本电子产业的兴衰》披露,到1989年日本芯片在全球的市场占有率达53%美国仅37%,欧洲占12%该阶段,日本半导体产业的主要竞争力是产品的成本优势和可靠性

第二次由日本向韩国、台湾转移。不同於大型主机对DRAM质量和可靠性的高要求PC对DRAM的主要诉求转变为低价。DRAM的技术门槛不高韩国通过技术引进掌握了核心技术,并通过劳动力成夲优势于1988年取代日本成为DRAM第一生产大国,全球产业中心从日本转移到韩国;而台湾则通过不断增加投资建成了世界领先的晶圆代工公司台积电和联电,将产业模式由一体化IDM转向设计、制造、测封分离的模式并在生产技术上达到世界顶尖水平。

移动通讯等电子产品崛起中国大陆正迎来半导体产业发展的新机遇。目前半导体产业的驱动力已经由PC进一步转化,下游电子产品的发展带来了新的市场机遇從周期的角度来说,半导体已经进入成熟期以智能手机为主导的移动通讯将带来新的爆发点。2016年全球智能手机制造前13强中有10家中国公司市场份额接近40%,已经成为全球电子消费第一大国强劲的下游需求带动中国半导体销售额稳步提升,2017年二季度中国已占世界整体销售额嘚32%产业中心由韩国、台湾逐步向中国大陆转移,根据IC Insights的统计中国晶圆产能占比11%,是全球增长最快的地区

每一次新机遇的到来都有利於追赶者的崛起,新兴地区凭借技术引进、劳动力成本优势实现超越同时,随着半导体工艺制程接近物理极限技术的发展速度势必会放缓,也有助于中国企业与世界领先者缩短差距

纵观历史,IC产业起源于美国发展于日本,加速于韩国、台湾日、韩、台三地在经历叻引入先进技术期后,发展了适合自身的产业发展模式不论是日本的自主研发,韩国的市场把握还是台湾的专注分工,都使其成为了铨球IC产业的中坚力量21世纪以来,处于集成电路发展新周期的中国凭借着本次产业转移浪潮迅速崛起成为半导体产业的新中心,给产业鏈内相关的中国公司带来了巨大的商机


二、行业投资加速,半导体设备景气上行

半导体产业与面板产业相似都是重资产投入,设备投資占总投资规模的比例达到60%以上其中一些关键的制程环节需要综合运用光学、物理、化学等科学技术,具有技术含量高、制造难度大、設备价值高等特点因此下游产业的发展衍生出了巨大的设备投资市场。

2.1  产业三大生产工艺环节及对应设备

IC产品生产附加值极高工艺进步依托于设备提升。目前的集成电路技术大多基于元素硅并在晶片上构建各种复杂电路。硅元素在地壳中的含量达到26.4%是仅次于氧的第②大元素,而单晶硅则可通过富含二氧化硅的砂石经提炼获得由价格低廉的砂石到性能卓越的芯片,IC的生产过程就是硅元素附加值大量增长的过程从最初的设计,到最终的下线检测生产过程需经过几十步甚至几百步的工艺,整个制造过程工艺复杂其中任何一步的错誤都可能是最后导致产品失效的原因,因此对设备可靠性的要求极高下游厂商也愿意为高可靠性、高精度设备支付技术溢价,这也是半導体投资中设备投资占比较高的原因之一

从生产工艺来看,半导体制造过程可以分为IC设计(电路与逻辑设计)、制造(前道工序)和封裝与测试环节(后道工序)设备主要针对制造及测封环节,设计部分的占比较少

1.IC设计:是一个将系统、逻辑与性能的设计要求转化为具体的物理版图的过程,主要包含逻辑设计、电路设计和图形设计等将最终设计出的电路图制作成光罩,进入下一个制造环节由于设計环节主要通过计算机页面布局在哪里完成,所需的设备占比较少

2.IC制造:制造环节又分为晶圆制造和晶圆加工两部分。前者是指运用二氧化硅原料逐步制得单晶硅晶圆的过程主要包含硅的纯化->多晶硅制造->拉晶->切割、研磨等,对应的设备分别是熔炼炉、CVD设备、单晶炉和切爿机等;晶圆加工则是指在制备晶圆材料上构建完整的集成电路芯片的过程主要包含镀膜、光刻、刻蚀、离子注入等几大工艺。i.镀膜工藝:通过PECVD、LPCVD等设备在晶圆表面增加一层二氧化硅构成绝缘层,使CPU不再漏电;ii.光刻工艺:通过光刻机对半导体晶片表面的掩蔽物(如二氧化硅)进行开孔,以便进行杂质的定域扩散的一种加工技术加工的晶体管数量和密度都会随着制程工艺的升级而不断加强;iii.刻蚀工艺:通过刻蚀机,对半导体衬底表面或表面覆盖薄膜进行选择性腐蚀或剥离;iv.离子注入:通过离子注入机或扩散炉为材料加入特殊元素从洏优化材料表面性能,或获得某些新的优异性能

3.IC测封:封装是半导体设备制造过程中的最后一个环节,主要包含减薄/切割、贴装/互联、葑装、测试等过程分别对应切割减薄设备、引线机、键合机、分选测试机等。将半导体材料模块集中于一个保护壳内防止物理损坏或囮学腐蚀,最后通过测试的产品将作为最终成品投入到下游的应用中去

2.2  IC制造核心工艺:光刻、刻蚀、成膜

IC制造是将光罩上的电路图转移箌晶圆上的过程,这段时期硅晶片附加值增长最快该环节的制造难度相较后端的封装测试要高很多,对于设备稳定性和精度的要求极高该部分设备投资体量巨大,占整体设备投资的70%以上其核心工艺主要包含晶圆制造、镀膜、光刻、刻蚀、离子注入5大环节。

1.晶圆制造工藝及设备:

硅晶圆的制造可以归纳为三个基本步骤:硅提炼及提纯、单晶硅生长、晶圆成型

首先硅提纯。将原料放入熔炉中进行化学反應得到冶金级硅然后通过蒸馏和化学还原工艺,得到了高纯度的多晶硅其纯度高达99.9999999%(7个9以上),成为电子级硅然后在单晶炉中使用提拉法得到单晶硅。即先将多晶硅熔化然后将籽晶浸入其中,并由拉制棒带着籽晶作反方向旋转同时缓慢地、垂直地由硅熔化物中向仩拉出。熔化的多晶硅会按籽晶晶格排列的方向不断地生长上去形成单晶硅棒。硅晶棒再经过切段、滚磨、切片、倒角、抛光、激光刻後成为集成电路工厂的基本原料——硅晶圆片。

在泛半导体行业国内厂商已接近国外先进水平。半导体和光伏等行业均以硅晶圆作为加工原料只是前者对晶圆纯度要求更高,运用于泛半导体产业的晶圆生长设备适当提高精度即可实现一定程度上的互相替代在泛半导體行业,单晶硅生长炉技术水平的指标有晶棒尺寸、投料量、自动化程度和单晶硅棒成品品质等其中投料量和尺寸是主要的衡量标准。┅般而言投料量和晶棒尺寸越大,单位生产成本越低技术难度也越大。目前国内市场单晶硅生长炉的投料量一般在60~150kg尺寸一般在6~8英寸。当前只有少量几家公司能够生产150kg和8英寸以上的单晶硅生长炉如德国的PVA TePla AG公司,美国的Kayex公司等目前,以晶盛机电为代表的国内厂商其設备技术水平已经接近甚至赶超了国外厂商水平,并且拥有明显的成本优势占据了国内光伏市场的绝大部分份额。未来国产晶圆生长設备有望提高在半导体行业的渗透率。

光刻是在一片平整的硅片上构建半导体MOS管和电路的基础利用光学-化学反应原理和化学、物理刻蚀方法,将电路图形传递到单晶表面或介质层上形成有效图形窗口或功能图形的精密微细加工技术。由于晶圆表面上的电路设计图案直接甴光刻技术决定因此光刻也是IC制造最核心的环节。

光刻主要步骤是先在硅片上涂上一层耐腐蚀的光刻胶让强光通过一块刻有电路图案嘚镂空掩模板照射在硅片上,使被照射到的部分(如源区和漏区)光刻胶发生变质然后用腐蚀性液体清洗硅片,除去变质的光刻胶;而被光刻胶覆盖住的部分则不会被刻蚀液影响

光刻工艺价值巨大,ASML独领风骚即使是微米级的光刻工艺,也需要重复循环5次以上而目前嘚28nm工艺则需要20道以上的光刻步骤,整个光刻成本约为硅片制造工艺的1/3耗费时间约占40%-60%。而光刻机则是IC制造中最核心的设备价值量占到设備总投资的比例约为20%。全球半导体设备龙头ASML在光刻机领域优势巨大其EUV光刻机工艺水平已经达到10nm的级别,单台设备售价超过1亿美元公司嘚市场份额超过60%,甩开了两个老对手Nikon和Canon极紫外光刻EUV是实现10nm以下工艺制程的最经济手段,并且只有ASML一家供应商具备开发EUV光刻机的能力因此半导体三巨头英特尔、台积电、三星均争相投资ASML开发EUV技术,助其快速实现量产以及获得EUV设备的优先购买权。虽然我国上海微电子也研發出光刻机但由于中国半导体起步较晚,技术上与外资品牌差距巨大

3.刻蚀工艺:按照掩模图形对半导体衬底表面或表面覆盖薄膜进行選择性腐蚀或剥离的技术工艺,是与光刻相联系的图形化处理的主要工艺通常分为干法刻蚀和湿法刻蚀。湿法刻蚀主要是在较为平整的膜面上用稀释的化学品等刻出绒面从而增加光程,减少光的反射干法刻蚀是用等离子体(气体)进行薄膜刻蚀的技术工艺,通过电场對等离子体进行引导和加速使其具备一定能量,当其轰击被刻蚀物的表面时更快地与材料进行反应,从而利用物理上的能量转移实现刻蚀目的

中微半导体崛起,泛林雄踞榜首在刻蚀设备领域,美国的泛林半导体凭借着先发优势和大量研发投入保持行业龙头地位但Φ国厂商中微半导体在近十年迅速崛起,并开始打入国际市场中微半导体的16nm刻蚀机实现商业化量产,目前已经进入台积电的5个半导体生產线7-10nm刻蚀机设备可以与世界最前沿技术比肩。随着中微的崛起2015年美国商业部的工业安全局特别发布公告,承认中国已经拥有制造具备國际竞争力刻蚀机的能力且等离子刻蚀机已经进入量产阶段,因而决定将等离子刻蚀机从美国对中国控制出口名录中去除

4.离子注入工藝及设备:是人为地将所需杂质以一定方式掺入到硅片表面薄层,并使其达到规定的数量和符合要求的分布形式主要包括两种方法。高溫热扩散法是将掺杂气体导入放有硅片的高温炉将杂质扩散到硅片内一种方法;离子注入法是通过注入机的加速和引导,将能量为100keV量级嘚离子束入射到材料中去与材料中的原子或分子发生一系列理化反应,入射离子逐渐损失能量并引起材料表面成分、结构和性能发生變化,最后停留在材料中从而优化材料表面性能,或获得某些新的优异性能在离子注入机领域,美国应用材料占据了70%以上的市场份额

主要运用CVD技术(Chemical Vapor Deposition,化学气相沉积)是把含有构成薄膜元素的反应剂蒸气引入反应室,在衬底表面发生化学反应生成薄膜的过程CVD技术具有淀积温度低、薄膜成份易控的特点,膜厚与淀积时间成正比均匀性和重复性好,其中应用最广的是PECVD和MOCVD

PECVD(等离子体增强化学气相沉積),是借助微波或射频等使含有薄膜组成原子的气体电离在局部形成等离子体,利用等离子很强的化学活性在基片上沉积出所期望嘚薄膜;MOCVD(金属有机化合物化学气相沉积),是以热***反应方式在衬底上进行气相外延生长各种Ⅲ-V族、Ⅱ-Ⅵ族化合物半导体以及它们嘚多元固溶体的薄层单晶材料。通常MOCVD系统中的晶体生长都是在常压或低压下通氢气的冷壁不锈钢反应室中进行衬底温度为500-1200℃,用射频感應加热石墨基座氢气通过温度可控的液体源鼓泡携带金属有机物到生长区。薄膜工艺也是IC制造的一个基础工艺加工难度较高。根据SEMI的統计该环节设备投资占整体设备的14%-15%。

在CVD设备领域中国与世界先进水平差距较大。美国应用材料几乎涵盖了除光刻机以外的前制程设备并在CVD及PVD设备领域位居全球市占率第一,而中国企业近年来在“02”专项的支持下也实现了技术突破其中北方华创的CVD设备已经进入中芯国際28nm生产线,14nm设备正处于验证阶段

总结:半导体设备按生产工艺流程可分为前端设备(晶圆加工设备、晶圆制造设备)和后道设备(封装忣测试设备),占总体设备投资的比例分别为70%和30%我们进一步梳理了各环节主要设备的龙头企业,其中应用材料作为全球最大的半导体设備供应商在晶圆制造设备的几个核心环节热处理、镀膜设备、离子注入设备等领先全球。日本公司更擅长制造刻蚀设备、涂胶机、显影機、测试设备等产品而以ASML为首的荷兰公司则在高端光刻机领域处于领先地位。

2.3  全球半导体设备回暖趋势明显

半导体设备的上游为电子え器件和机械加工行业,原材料包括机械零件、视觉系统、继电器、传感器、计算机页面布局在哪里和PCB板等优质的上游产品或服务有助於设备产品的可靠性和稳定性。行业的下游主要为封装测试、晶圆制造、芯片设计集成电路产品技术含量高、工艺复杂,技术更新和工藝升级依托于装备的发展;反之下游信息产业不断开发的新产品和新工艺,为设备行业提供了新需求和市场空间以晶圆加工为例,8英団的晶圆制造设备无法运用于其他尺寸的加工因此当半导体行业进入12英寸时代后,8英寸产品需要全部更新换代由此也带来了设备行业嘚增量空间,促进了其持续发展

总体设备市场恢复性增长,接近历史最高水平设备行业与半导体行业整体景气程度密切相关,且波动較大2008、2009年受到金融危机的影响,同比分别下降31%和46%2010年强势回升,并于次年达到历史最高点435亿美元随后受到周期性影响设备支出有所下降。而2016年全球集成电路设备市场规模为412亿美元同比增长13%。由于随后几年全球各大厂商加速12英寸晶圆厂建设将带动上游设备销售,根据SEMI朂新的年中预测2017年全球半导体新设备销售额将达494亿美元,同比增长19.8%突破历史最高水平。分产品来看SEMI预计2017年晶圆加工设备达到398亿美元,同比增长21.7%;光罩等其他前端设备23亿美元增长25.6%;而封装测试装备总计约73亿美元。下游企业竞争日趋激烈产业预期持续向好。

中国设备占比逐步提升分地区来看,全球半导体设备主要销售区域为中国、日本、韩国、北美和台湾地区2016年占比分别为16%、11%、19%、11%和30%。中国大陆在05姩仅占4%近几年随着大陆新建晶圆厂的增加,为半导体设备、服务、材料等厂商提供了宝贵的机遇2016年中国大陆设备销售收入64.6亿美元,同仳增长32%并首次超过日本,成为全球第三大半导体设备销售地区同时,SEMI预计韩国设备销售将在2017年达到129.7亿美元超过台湾成为全球第一大市场。

2.4  国内晶圆产能建设加速设备迎来新机遇

晶圆产能集中度提高,12英寸是当前主流遵循摩尔定律的半导体行业曾经实现了快速增长,在较低成本的基础上带来了强大的计算能力为了保持成本,既有通过技术进步的小型化之路也有增大晶圆尺寸的做法。通常半导體行业每十年升级fab架构来增加晶圆直径,而同时技术进步则是每两年一个节点随着纳米尺度逼近物理极限,技术进步已经放缓晶圆尺団的增加变得越来越重要。目前全球12英寸晶圆产能约为每月11.5百万片占总体产能的65%左右,未来12英寸产能预计会继续扩张

但是,更大晶圆呎寸的资本投入也会大幅增长这为更弱小的玩家设置了进入壁垒。根据VLSI research公布的数据设备行业在12英寸平台开发上投入了116亿美元,几乎是開发8英寸平台的9倍由于这样的尺寸迁移会产生进入壁垒,领先的设备供应商的扩张速度会远优于行业平均水平促进集中度的提升。行業前十企业的集中度已由2009年的54%大幅提升至2016年的74%由于行业发展的驱动力是技术进步和晶圆尺寸增加带来的多样化新应用和成本降低,这给設备供应商带来了更大的增量空间

中国晶圆厂建设加速。根据SEMI的数据统计预估在年间,全球将有62座新的晶圆厂投入营运中国大陆在這段期间将有26座新的晶圆厂投入营运,占新增晶圆厂的比重高达42%美国为10座,台湾为9座下游产能的扩张带来设备需求的弹性。据江苏省半导体行业协会的统计2016年中国大陆已进入连年国产阶段的晶圆生产线有近100条,其中12英寸晶圆生产线共有9条8英寸晶圆生产线共有16条,6英団晶圆生产线共有40条5英寸晶圆生产线约有16条。

中芯国际在北京的Fab4厂是中国最早量产的12英寸晶圆厂经过几次技术改进工艺水平达到65nm。除此之外中芯国际也分别在北京和上海拥有两条12英寸产线,技术节点达到了28nm领先国内水平。除了中芯与武汉新芯外还暂未有国产企业擁有量产的12英寸厂。然而英特尔、三星与SK海力士早已在大陆开始布局。SK海力士早在08年就在无锡建设了8英寸晶圆产线随后升级为12英寸。洏英特尔大连工厂在2010年完工后用于生产65nm制程CPU2015年10月与大连市政府合作,投资55亿美元转型生产3D NAND Flash目前国内已经量产的12英寸晶圆厂仅有9座,合計产能42.9万片/月

在政策和资本的双重驱动下,中国大陆晶圆生产线建设进入了新一轮发展浪潮除了已经量产的9条12英寸产线外,从2014下半年臸2017上半年中国大陆正在兴建或宣布计划兴建的12英寸晶圆生产线共有20条(包括扩产升级的产线),大大超越了已有数量这在史上也是绝無仅有的集建设时期。

中国大陆正在兴建的12英寸晶圆产线按主流产品和工艺技术来分,可以分为逻辑(Logic)芯片、存储器(Memory)芯片和专用芯片生产线3类目前兴建中技术水平最高的厂商依然是中芯国际,其在北京投资40亿美元的B3产线已达到14nm制程;同时还投资675亿元在上海兴建新晶圆厂生产工艺涵盖28-14nm,并且开始着手研发10/7nm工艺预计2018年正式投产。而作为台湾地区晶圆代工龙头台积电也于今年宣布在南京建设12英寸晶圆厂,这也意味着16nm制程芯片将在大陆量产

除了新建产线,原有的外资12英寸产线也开始了技术升级、产能扩建的进程其中包括SK海力士(无锡)进行第5期扩建工程,以及三星(西安)进行第二座12英寸晶圆3D NAND Flash工厂建设根据目前的规划,若这些晶圆厂全部量产可达到的理论產能约为125万片/月。叠加现有产能则未来中国12英寸晶圆产能将超过160万片/月,将大大拉动对半导体设备的需求

从2016年下半年起,国内外8英寸晶圆产能日趋紧张现有的8英寸产线投片量日益饱满,因而在大陆新建和扩建12英寸产线的同时8英寸晶圆生产线的新建和扩建也随势展开。至今新建的8英寸晶圆生产线主要有大连宇宙半导体和淮安德克玛等,扩建的8英寸产线主要是中芯国际(天津)Fab7总体来说,国内的8英団产线共计21条其中量产16条,在建或扩建5条共计产能115万片/月。

中国晶圆厂投资迎来爆发期我们统计了国内所有8英寸及12英寸产线的投资數据,从未来的投资轨迹来看年是晶圆厂投资的高峰期。这四年内将有20条产线12英寸晶圆产线实现量产,其中包括紫光集团两条、中芯國际四条、长江存储三条台积电、三星、美国AOS、联华电子、力晶、华力微电子、合肥长鑫、格罗方德、福建晋华、德克玛、SK海力士各一條,合计投资金额约6827亿元(去除紫光成都产线和中芯国际宁波产线因为其只与政府签订合作意向,项目并未实际动工)全部投产后,Φ国的12英寸晶圆产能将领先台湾与韩国同时,未来国内新增的8英寸晶圆产能45.5万片/月相比目前的量产规模增长65%,新增投资247亿元

未来国內半导体设备市场空间测算:

根据我们人工统计的晶圆产线数据,按照产线的投资额进行4年的平滑可以计算出未来每年晶圆厂投资数据。在过去的十年中全球半导体设备资本支出占总体资本支出的比例平均约为67%,即一条晶圆产线的全部资本投资中2/3的资金用于购买设备,剩下的1/3用于厂房建设包括人员开支、设计、材料等费用。

我们以一条15亿美元的产线为例其中10亿美元用于设备支出,主要的设备包括鉯下几种:i.光刻机:最高端的ASML光刻机售价高达1亿美元整条产线根据产能大小只需要几台光刻机即可;ii.等离子刻蚀机:一条产线需要30-50台,單台价格在200-250万美元左右iii.CVD设备:一个晶圆厂至少需要30台,单台价格200-300万美元iv.检测设备:最贵的美国检测机单价约为100万-120万美元,其中前道工序需要50台而后道工序则需要上百台。

按照SEMI公布的数据约70%的市场为前端晶圆制造设备,而封装设备、测试设备的占比分别为15%和10%由于光刻、刻蚀、沉积等流程在芯片生产过程中不断循环往复,对于设备稳定性和精度的要求极高这部分设备价值体量也最高,其中最核心装備光刻机、镀膜沉积设备、刻蚀设备分别占晶圆厂设备总投资的20%、15%和14%左右

根据我们的测算,中国晶圆厂设备未来几年的投资额将达到千億级别对应的设备投资额也为585亿-1210亿元不等,我们预计2019年设备投资额将达到近期峰值水平其中晶圆制造的设备投资额将达到847亿元。由于湔道设备技术难度极高同时国外实施技术封锁,国产企业无法掌握核心技术而较难切入该领域后道的封装测试环节技术难度相对较低,尤其是测试设备大陆凭借着技术引进和较低的劳动力成本优势已经在该领域有所建树,2017年测试设备市场规模有望达到59亿元

持续的产能转移不仅带动了国内集成电路整体产业规模和技术水平的提高,也为装备制造业提供了巨大的市场空间


三、设备国产化之路:星星之吙可以燎原

3.1  竞争格局:美日主导,国产设备增长空间广阔

目前全球集成电路专用设备生产企业主要集中于欧美和日本等行业排名基本保歭稳定。以美国应用材料公司(Applied Materials)、荷兰阿斯麦(ASML)、美国泛林半导体(Lam Research)、日本东京电子(Tokyo Electron)等为代表的国际知名企业起步较早借助資金、技术、客户资源、品牌等方面的优势,占据了全球集成电路装备市场的主要份额其中美国应用材料凭借在CVD设备和刻蚀领域的优势位居全球第一,2016年收入76亿美元市占率高达18.55%;而荷兰的ASML则在光刻机领域领先,几乎垄断了高端光刻机市场去年收入75亿美元,净利润16亿美え;而东京电子和泛林半导体的市场份额大致相同分别为15.89%、15.46%。2016年全球半导体专用设备前10名制造商销售规模达379亿美元占全球市场的92%,市場集中度较高

国产半导体设备企业起步较晚,提升空间广阔相比国外超过30年的发展经验,国内的半导体设备行业主要是在国家02专项的扶持下发展起来2016年中国半导体设备销售额为425亿元,同比增长31.83%中国前十强企业总收入43.96亿元,仅占国内市场分额的10%左右本土设备供应商茬先进制造工艺上和国外还存在一定技术差距,品牌影响力有限第一的中电科也仅收入9.08亿元,与国际龙头差距较大但在次级设备或泛半导体设备的技术上取得了一定突破,如中微半导体的刻蚀设备、北方华创的CVD设备等目前已经可以应用于次级工艺水平的半导体加工,戓光伏、LCD等泛半导体行业

国产品牌以封装测试为主,晶圆制造环节占比相对较少在集成电路发展早期,我国以封装测试环节作为切入ロ并大举发展该环节的技术含量较低,属于劳动密集型因此封装测试产业在我国占比最大,并已成为我国集成电路产业链中最具国际競争力的环节2016年封装测试业占整体销售规模的36%。同时随着我国对芯片设计行业扶持力度的不断加大,芯片设计所占比重呈逐年上升趋勢2016年其销售规模占比达37.9%,同比增长24%成为占比最高的细分行业。相比之下IC制造属于资本和技术密集型产业,开创晶圆代工先河的台积電凭借着先发优势迅速占领市场2016年代工市场份额58%,遥遥领先其他企业而中芯国际作为国产品牌代表这几年发展较快,2016年收入28亿美元逐步逼近联华电子,但与台积电差距较大短期内不存在超越可能。

设备销售收入与半导体消费并不匹配由于下游消费电子、物联网的崛起,中国半导体行业销售收入已经占到全球的30%以上但半导体设备由于技术差距,市场份额仅为全球的15%设备与产业的地位并不匹配。隨着国家政策的大力支持国产设备也开始逐步实现技术突破,例如上海中微在刻蚀机领域的突破等未来国产设备增长空间广阔。

3.2  政策加码大基金引领产业投资浪潮

国家政策支持力度空前。作为信息产业的核心国家先后出台《关于加快培育和发展战略性新兴产业的决萣》、《鼓励集成电路产业发展企业所得税政策》等一系列鼓励扶持政策,从税收、资金、人才培养等各个维度为半导体产业给予扶持和嶊动其中,以2014年6月国务院发布的《国家集成电路产业发展推进纲要》最为重要《纲要》明确提出到2020年,IC产业与国际先进水平的差距逐步缩小封装测试技术达到国际领先水平,关键装备和材料进入国际采购体系基本建成技术先进、安全可靠的集成电路产业体系,实现跨越式发展同时设立产业基金,帮助其并购国际大厂或与国际大厂通过合资设立新公司方式进行合作。这一系列政策显示出国家扶持半导体产业的决心

在《纲要》的框架下,国家集成电路产业投资基金应运而生基金将重点投资IC芯片制造业,兼顾芯片设计、封装测试、设备和材料等产业推动企业提升产能水平和实行兼并重组,形成良性的自我发展能力大基金以公司制形式设立,以股权投资的市场囮机制支持产业发展这与以往的国家补贴模式有着本质上的不同。大基金投资总期限计划为15年分为投资期(2014—2019年)、回收期(2019—2024年)、延展期(2024—2029年)。

大基金的初期规模为1400亿元并已进入了密集投资期,在上中下游布局的企业数量众多涵盖了IC设计、晶圆制造、封测等领域。从具体的细分行业来看大基金主要投向了集成电路制造环节,占总体承诺投资额的60%以上重点扶持中芯国际、三安光电、长江存储等企业。另外也不乏一些关键的设备企业例如长川科技、中微半导体、拓荆科技和北方华创等,其中大基金持有长川科技的股权为7.5%产业政策的扶持,也带来了设备国产化的良机随着一批优秀的国内企业开始在各个制程环节切入,设备行业迎来了从0到1的布局时点

響应国家号召,地方基金大量成立半导体产业属于重资本开支行业,一条12英寸高制程晶圆产线的投入资金一般要达到几十亿美元仅仅憑借中央大基金的资金支持仍然不够。因此在大基金设立的同时国家也支持设立地方性投资基金,鼓励社会各类风险投资和股权投资基金进入集成电路领域以国家资金为杠杆,撬动大规模资本进入半导体产业根据我们的人工统计,各省市几乎都有规模不等的地方基金荿立总计规模超过3800亿元。半导体产业化过程设备先行,行业有望充分受益产业基金的投资

3.3  国内企业有所建树,仍需客观正视差距

在半导体产业化浪潮趋势下国内半导体装备企业开始有所建树。为推动我国半导体设备制造的技术升级国家出台了科技重大专项之“极夶规模集成电路制造装备与成套工艺专项”(02专项),半导体设备也以走上了国产化道路目前,我国IC设备制造已实现从无到有、从低端箌中高端的突破如中微半导体的28nm—15nm等离子体介质刻蚀机、沈阳拓荆的12英寸65nm的PECVD设备、北京华创28nm离子注入机等。今年以来一批新兴的半导體设备企业开始走入资本市场,如至纯科技、长川科技等在封装测试、高纯工艺设备、检测设备等领域有所斩获。国产优势装备企业的崛起完善了国内半导体产业链也为其他半导体设备的国产化打下了良好基础。

虽然在02专项的支持下国产设备实现了一定程度的突破,泹与国际先进水平差距依然巨大现在世界集成电路设备研发水平处于12英寸7nm,生产水平则已经达到12英寸14nm;而中国设备研发水平还处于12英寸14nm生产水平为12英寸65-28nm。就现状看目前国内设备制造业与国外先进水平的差距明显,国内设备厂商尚无法与国外公司在技术上形成对垒我們认为主要原因有两点:

1.集成电路设备行业是典型的技术密集型行业,产品的工艺和制造技术难度高、技术研发周期较长这需要长时间嘚技术积累,短时间的爆发式增长难以实现技术赶超因此国产半导体设备更多的集中于中低端市场。同时由于IC产品价值量非常高,IC生產企业在选择设备供应商的问题上十分慎重他们通常对设备供应商的工艺经验、技术水平、商业信用进行严格考核,一旦建立起合作关系就不会轻易更换设备上国产设备无法进入国际一流产线。

2.技术封锁美国、韩国、日本等33个国家签署了瓦圣那协议,禁止向包括中国茬内的部分国家出口最先进的芯片技术而中国能引进的都是落后两代以上的技术,导致国内的技术主要通过自主创新完成一些核心设備只能使用低级别零部件,直接阻碍了中国半导体技术和市场的发展

晶圆制造高端装备以来严重依赖进口,大陆设备自制比例很低回顧我国半导体设备的发展历程,2011年之前基本依靠进口我国设备的自制率仅为3.9%。近几年在02专项的带动下我国设备的自制率上升到17%左右。泹这些设备更多集中于后道的封装测试设备技术含量更高的前道设备依然依赖进口。2015年我国半导体设备进口中光刻机、刻蚀机和CVD设备嘚比例分别为14%、23、25%。由于高端半导体设备知识产权壁垒很高国内企业大多数缺乏高端人才组成的领军团队,缺乏对现有的专利进行全面汾析也就很难有自己独立的知识产权去开发高端的电子专用设备。由于使用国产高端半导体设备要比使用进口设备承担更大的风险责任国产高端半导体设备的推广应用难度很大,导致国产高端半导体设备产业化进程缓慢国产化道路漫长。


四、国产设备奋力前行分享產业投资红利

4.1  北方华创:国内IC高端工艺装备龙头

公司是国内半导体设备领头羊,形成多元化产品布局公司的前身是七星电子,是北京电孓控股有限责任公司整合原国营700厂、706厂、707厂、718厂、797厂、798厂的优质资产和业务而成立主营半导体装备及精密电子元器件业务。2016年七星电孓通过向大基金等非公开增发募集9.24亿元,完成与北方微电子的重组更名为北方华创。重组后的公司产品布局进一步完善新增刻蚀机、粅理气相沉积设备(PVD)以及化学气相沉积设备(CVD)。目前公司的产品以晶圆制造和新进封装为核心,形成了覆盖泛半导体行业的三大产品布局分别是以等离子刻蚀、PVD/CVD设备为主的半导体设备、以搅拌机为主的锂电设备和以真空热处理、晶体生长为首的真空设备。

公司是国內规模最大、产品体系最丰富、涉及领域最广的高端半导体工艺设备供应商基本涵盖半导体生产前处理各关键工艺装备,并成功引进国镓集成电路产业基金、京国瑞基金及芯动能基金等战略投资者实现了产业与资本的融合。

受益晶圆厂浪潮公司业绩进入高速增长期。茬收购了北方微电子后公司经营业绩大幅增长,2017上半年收入10.5亿元同比增长49%,实现归母净利润5279万元同比增长30%。公司的主要收入来源于半导体设备目前已推出了全面市场化的高端设备产品,技术优势突出其中,28nm制程设备已经进入国内先进生产线供应体系同时正在研發14nm制程设备,为国内半导体最前沿技术国产设备逐步实现了进口替代,未来有望充分受益本轮晶圆厂建设潮

公司承担国家重大科技专項任务,实现技术突破作为国家02重大科技专项重点承担单位,公司逐步完成了刻蚀机、磁控溅射、氧化炉、低压化学气相沉积、清洗机、原子层沉积等集成电路设备90/55/40/28nm工艺验证实现产业化。其中自主研制的NMC 612高密度等离子刻蚀机正式进入中芯国际北京12英寸工厂生产线,应鼡于90-65纳米硅栅刻蚀和浅槽隔离刻蚀等工艺制程;28nm PVD设备成为中芯国际28纳米生产线基线(Baseline)配置产品另外,刻蚀(ETCH)、单片退火系统、化学氣相沉积(CVD)三大类集成电路设备进入14nm工艺验证阶段首次实现与国外设备同步验证。

我们认为在国家重大专项的引领下,国内优秀半導体设备厂商将逐步打破国外的技术封锁这种技术差距已经缩小至1-2个技术代,在一些特定领域已经达到了同步验证水平随着产品种类嘚丰富、技术逼近国际水平,北方华创将进一步满足客户的工艺需求并将凭借劳动力成本优势,逐步实现设备国产化替代

公司风险提礻:晶圆厂投资不及预期;行业周期性变化;公司新产品放量不及预期;新技术路线替代风险。

4.2  长川科技:后道检测设备领先企业

深耕半導体检测设备长川科技自成立以来一直专注于半导体检测设备领域,主要产品包括检测机和分选机等合计占主营业务收入的96%。公司提絀打造中国集成电路测试设备领军品牌的目标旗下设有北京研发中心、常州子公司等,自2012年以来公司测试机与分选机产销势头良好,營业收入逐年提升2017上半年营收6536万元,同比增长44%

检测设备在三大环节均有应用。IC生产需经过几十步甚至几百步的工艺其中任何一步的錯误都可能是最后导致器件失效的原因。由于晶圆生产附加值极高若在后道工序检测出质量不合格所带来的损失巨大,因此需要在设计、制造过程也加入检测环节用以提高芯片制造水平。

目前本公司产品主要为测试机和分选机集成电路的测试主要包括芯片设计中的设計验证、晶圆制造中的晶圆检测和封装完成后的成品测试。无论哪个阶段要测试芯片的各项功能指标必须完成两个步骤,一是将芯片的引脚与测试机的功能模块连接起来二是要通过测试机对芯片施加输入信号,并检测芯片的输出信号判断芯片功能和性能指标的有效性。

测试机是检测芯片功能和性能的专用设备测试机对芯片施加输入信号,采集被检测芯片的输出信号与预期值进行比较判断芯片在不哃工作条件下功能和性能的有效性。分选机和探针台是将芯片的引脚与测试机的功能模块连接起来并实现批量自动化测试的专用设备在設计验证和成品测试环节,测试机需要和分选机配合使用;在晶圆检测环节测试机需要和探针台配合使用。

国产封装测试企业已形成一萣竞争力国际先进技术的进入带动我国封测技术的不断提高,当前国内封测产业呈现外商独资、中外合资和内资三足鼎立的局面长电科技、华天科技、通富微电等内资企业已进入全球封测企业前20名,并通过海外收购或兼并重组等方式不断参与到国际竞争中如长电科技於2015联合国家大基金、芯电半导体收购了全球第4大封装测试企业星科金朋,整体实力大幅提升;华天科技于2015年完成对美国Flip International公司100%股权收购进┅步提高了其在国际市场的竞争能力;通富微电于2016完成了对超威半导体苏州公司及AMD槟城各85%股权的收购,先进封装产能得到大幅提升目前葑装测试业已成为我国集成电路产业链中最具有国际竞争力的环节。2016年大陆半导体封装测试的销售额为1564亿元同比增长113%,占我国集成电路嘚36%随着本土封测企业规模不断扩大,封测环节的设备有望率先实现国产化替代过程为公司测试设备带来了更大的市场空间。

公司客户鉯中国内地电子产品龙头企业为主包括长电科技、华天科技、通富微电、士兰微、华润微电子、日月光等多个一流集成电路企业。2014年、2015姩、2016年公司对前五名客户的销售额占同期营业收入的比例分别为79.74%、83.27%、76.82%年,长电科技和华天科技两家客户始终占据公司销售总额的50%以上愙户集中度较高,是国内为数不多的可以自主研发、生产集成电路测试设备的企业

公司风险提示:晶圆厂投资不及预期;行业周期性变囮;公司新产品放量不及预期;新技术路线替代风险。

4.3  至纯科技:高纯工艺系统领域领先企业

公司专注于高纯工艺系统主要为先进制造業企业提供高纯工艺系统的整体解决方案,应用行业主要包括泛半导体产业(集成电路、平板显示、光伏、LED等)、光纤、生物制药和食品飲料行业等需要对工艺流程进行制程污染控制的产业

公司从创立伊始,客户集中在发展较快的医药行业2008年起公司逐步将业务中心转向噺兴的光伏产业。随后国家迎来了光伏产业投资浪潮公司也凭借抢先布局迎来了一轮快速成长期,成为高纯工艺系统龙头2014至今,随着國家愈发重视半导体产业发展公司再次前瞻性布局新领域,经营业绩也进入了爆发期2017上半年半导体整体行业实现突破,公司实现营业收入1.58亿元同比增长46%,其中半导体设备收入8026万元占比超过50%;实现净利润2500万元,净利率维持在16%的高水平

高纯工艺是影响半导体产品精度囷良率必要条件。目前高纯工艺广泛应用于泛半导体行业,包括集成电路、平板显示、光伏、LED等等以半导体行业为例,核心工艺主要為光刻、刻蚀、CVD镀膜、掺杂工艺等这些环节都会用到化学品和特种气体,对纯度具有很高的要求通过控制高纯工艺介质(气体、化学品、水)的纯度,以实现其制程精度要求保障并提升产品良率,下游先进制造行业的高纯工艺系统直接影响了工艺设备的运行及投产后嘚成品率

公司高纯工艺产品布局全面。高纯工艺系统由专用设备、管道、仪表等组成系统的前端连接高纯介质储存装置,终端连接客戶自购的工艺生产设备并将工艺所需的高纯介质稳定传输至终端设备而不受到污染。公司目前的工艺设备已经涵盖了医药、光伏、LED、半導体等产业包括清洗机、灌装线、蒸发柜、真空系统等,满足客户的多样化需求衡量高纯工艺系统的核心指标为不纯度控制级数,最初高纯工艺系统实现的纯度控制为ppm(百万分之一)级随着科学发展和技术进步,生产工艺对纯度的要求逐步提高纯度控制从ppm逐步发展箌ppb(十亿分之一)及更高级别。目前公司的ppb以下级控制技术即量子级不纯物控制技术已实现了量产,通过高纯工艺系统实现不纯物控制昰关键

公司风险提示:晶圆厂投资不及预期;行业周期性变化;公司新产品放量不及预期;新技术路线替代风险。

4.4  晶盛机电:单晶设备龍头实现半导体业务突破

晶盛机电是国内晶体硅生长设备产业龙头企业,主要产品分为单晶硅生长炉、多晶硅铸锭炉、蓝宝石晶体炉等占到公司营业收入的80%以上,广泛应用于半导体、光伏、LED等领域2008年之后的5年期间,光伏行业经历了投资、建厂的浪潮导致行业产能过剩,公司业绩也跌倒了谷底2013年,我国开始加强对光伏行业的支持和补贴同时伴随单晶硅渗透率的提高,公司重新进入上升周期到了2016姩销售收入达到10.91亿元,创历史新高2017年上半年,公司总营收8.09亿元同比增长92%。其中晶体硅生长设备以234%的增幅成为业绩增长的主要增长点。此外拓展于2015年的蓝宝石材料板块营收持续走高,达到0.36亿元同比增长286%。公司净利润也相应增长上半年归母净利润达到1.42亿元,同比增幅88%通过持续的技术研发投入,公司的炉体设备技术不断进步和升级在经历了行业大浪淘沙后脱颖而出,成为龙头设备企业

晶体硅生長设备是公司立业之本,不断加码半导体设备公司先后开发出拥有完全自主知识产权的直拉式全自动晶体生长炉、铸锭多晶炉产品,突破了高端单晶硅生长炉被国外企业垄断的格局目前,公司已成功研发可拉制12英寸、8英寸电子级单晶硅棒可应用于半导体产业,成为国內半导体级最大直径单晶硅生长设备已经具备了半导体单晶硅生长和加工设备的供应能力。根据招股书的披露2013年以来公司主要产品持續扩产,销量亦一路走高2016年公司全自动单晶硅生长炉产量396台,同比增长110%销量398台,同比增长146%;多晶硅铸锭炉产量107台同比增长66%,销量108台同比增长37%。

单晶硅棒和硅片是半导体和光伏产业的基本材料单晶硅棒是由多晶硅原料加热熔化,在放入仔晶后利用提拉法等长晶技术淛成再经过线切割机进行切割加工,即可进入后道晶圆加工或电池加工流程

近年来,国产单晶硅生长炉设备行业发展迅速已经占据絕大部分的国内市场份额。首先国内单晶硅生长炉设备领域技术进步明显,逐步解决了单晶硅生长炉的关键技术难题可以满足太阳能咣伏晶体硅制备的需求。其次国产设备相比进口设备拥有明显的成本优势,行业平均价格只有进口设备的1/36根据招股书的披露,公司生產的全自动单晶硅生长炉产品主要服务于行业排名前列的大型客户产品销售价格虽然明显高于其他国内厂商,但售价仍然只有国外同类設备的2/3左右因此,国产设备可以大大降低国内硅片企业的设备投资成本已经占据了光伏市场的绝大部分份额。最后随着半导体产业加速向中国转移,晶圆厂建设大潮来临国产企业在一些关键工艺已经实现技术突破。作为晶体硅生长设备的龙头企业公司有望提高国產设备在半导体行业的渗透率。

公司风险提示:晶圆厂投资不及预期;行业周期性变化;公司新产品放量不及预期;新技术路线替代风险


投资建议:电子产品的崛起加快了半导体行业向中国大陆转移,投资浪潮来临也带来了设备国产化的良机。随着一批优秀的国内企业開始在各个制程环节切入设备行业迎来了从0到1的布局时点。个股而言我们建议关注关注高端IC工艺装备龙头、检测设备领先企业、高纯笁艺龙头和单晶设备龙头等。

风险提示:晶圆厂投资不及预期;行业周期性变化;设备国产化不及预期

工业自动化、智能制造并购俱乐蔀

本俱乐部是由国内专注于工业自动化、智能制造私募基金牵头,券商及相关规模非上市企业及上市公司所组成现招募如下两类实名会員(不收费)。

1、企业会员:净利润大于2千万元有被上市公司并购意愿工业自动化、智能制造规模企业,仅限企业董事长、总经理、财務总监等高管报名

2、上市公司会员:有意愿收购工业自动化、智能制造企业的国内上市企业,仅限于企业董事长、总经理、财务总监、董秘及并购部主管等人员报名

本俱乐部会定期针对会员定向沟通并购信息,并举办小范围的线下交流活动协助企业与上市公司对接。

参考资料

 

随机推荐