有没有用Questa10.0a跑UVM 1.0成功的

uvm的例子里面有questa脚本,这个在modelsim6.5c中也是可以运行的,可能questa支持uvm更好些,不知道大侠的 ...
fpga2008dut 发表于
&&请问在questa 6.5中怎么运行?谢谢
UID797872&帖子173&精华1&积分7658&资产7658 信元&发贴收入1075 信元&推广收入0 信元&附件收入636 信元&下载支出5263 信元&阅读权限50&在线时间1140 小时&注册时间&最后登录&
vlog -incr +incdir+$(UVM_HOME)/src&&$(UVM_HOME)/src/uvm_pkg.sv
vlog -incr +incdir+$(UVM_HOME)/src&&hallo_world.sv
vsim -sv_lib $(UVM_HOME)/lib/uvm_dpi -c -do &run -a& top
UID1160293&帖子219&精华3&积分32024&资产32024 信元&发贴收入1967 信元&推广收入100 信元&附件收入19864 信元&下载支出5583 信元&阅读权限120&在线时间713 小时&注册时间&最后登录&
UID846449&帖子3&精华0&积分68&资产68 信元&发贴收入10 信元&推广收入0 信元&附件收入0 信元&下载支出1278 信元&阅读权限10&在线时间33 小时&注册时间&最后登录&
[通过 QQ、MSN 分享给朋友]
欢迎访问 TI SLL(信号链)专区&&& 断断续续的看了sv
for verification和UVM,自己搭建了一个简单的仿真环境。发现这个东西一个人研究还是挺郁闷的,所以把自己学习的进展写下来,希望能够和大家共同学习成长。其中不对的地方还请大家指出。
注:UVM相关的资料可以在这里下载:
脚本是参考UVM包里面的脚本写的:
set UVM_HOME
D:/study/uvm/questasim-win32-10.0a/verilog_src/uvm-1.0
vlog -timescale "1ns/1ns" -mfcu -suppress 2181
+acc=rmb \
&&&& -writetoplevels
questa.tops \
+incdir+$UVM_HOME/src $UVM_HOME/src/uvm.sv \
&&&& +incdir+../
../sdram_top.sv
vsim +UVM_VERBOSITY=UVM_MEDIUM \
&&&& -sv_lib ./uvm_dpi
&&&& -l questa.log \
&&&& -f questa.tops \
+UVM_USE_OVM_RUN_SEMANTIC \
&&&& +UVM_TESTNAME=sdram_test
add wave -r /*
sdram_top.sv就是testbench的顶层,sdram_test就是你仿真要调用的class。
这里有两个问题:
A&&&&& A.必须要把uvm_dpi.dll拷贝在当前目录下,不然Questa可能不能够识别(这个比较汗)。
&&& B.如果你用到了OVM的当中methods(stop_request() and/or
global_stop_request),必须加+UVM_USE_OVM_RUN_SEMANTIC。

参考资料

 

随机推荐