cyclone iv 中文手册gx family...

Read Quartus II Version 9.1 SP2 Software Release Notes
Read Quartus II Version 9.1 SP2 Software Release Notes text versionQuartus II Software Version 9.1 SP2 Release NotesApril 2010 RN-This document provides late-breaking information about the following areas of the Altera& Quartus&II software version 9.1 SP2:
&New Features & Enhancements& on page 1 &EDA Interface Information& on page 4 &Changes to Software Behavior& on page 5 &Known Issues & Workarounds& on page 7 &Platform-Specific Issues& on page 10 &Device Family Issues& on page 11 &SOPC Builder Issues& on page 21 &EDA Integration Issues& on page 22 &Memory Interface Issues& on page 22 &Simulation Model Changes& on page 23 &Latest Known Quartus II Software Issues& on page 23 &Software Issues Resolved& on page 24For information about disk space and system requirements, refer to the readme.txt file in your altera/&version number&/quartus directory. For information about device support in this version of the Quartus II software, along with the latest information about timing and power models, refer to the Quartus II Device Support Release Notes. For the latest information about the MegaCore& IP Library, refer to the MegaCore IP Library Release Notes and Errata. Both documents are available on the Altera website at /literature/lit-rn.jsp.New Features & EnhancementsThe Quartus II software version 9.1 includes the following new features and enhancements:The Rapid Recompile option, which reduces compilation time and improves timing preservation when making small design changes. You can use the Rapid Recompile option in place of or together with creating design partitions to preserve placement and routing results from a previous compilation. You can use non-rectangular LogicLockTM regions to create more compact and efficient floorplans. Avalon& Verification IP components in SOPC Builder allow you to simulate the behavior of IP created for SOPC Builder systems and to monitor Avalon interface traffic. You can also perform Avalon Memory Map or Avalon Streaming Protocol assertion checking. The Quartus II software version 9.1 provides VHDL 2008 initial support. The IP library includes improved DDR2, DDR3, QDR II+, and RLDRAM II memory controllers. April 2010Altera CorporationQuartus II Software Version 9.1 SP2 Release Notes Page 2New Features & EnhancementsThe Pin Advisor has been enhanced to include information on how to use the Quartus II software to generate more accurate and less pessimistic Simultaneous Switching Noise (SSN) results. The Quartus II software version 9.1 supports the following new megafunctions: altotp megafunction altfp_matrix_inv megafunctionThe Quartus II software version 9.1 SP2 adds support for the following devices:Initial information support for these Cyclone& IV GX devices: EP4CGX30, EP4CGX50, EP4CGX75, EP4CGX110, EP4CGX150 Advance support for these Cyclone III LS devices: EP3CLS70, EP3CLS100 Advance support for these Cyclone IV GX devices: EP4CGX22, EP4CGX30 Advance support for these Stratix& IV devices: EP4S40, EP4S100, EP4SE360, EP4SE820, EP4SGX70, EP4SGX110, EP4SGX290, EP4SGX360, EP4SGX530 Full support for these Arria& II GX devices: EP2AGX95, EP2AGX125, EP2AGX190, EP2AGX260 Full support for these Cyclone IV E devices: EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40, EP4CE55, EP4CE75, EP4CE115 Full support for these Stratix IV E devices: Full support for these Stratix IV devices: EP4SE40, EP4SE100, EP4SE230, EP4SE290, EP4SE360, EP4SE530, EP4SGX110, EP4SGX290, EP4SGX360, EP4SGX530, EP4SE820 Compilation support for this HardCopy& III device: HC325 Compilation support for these HardCopy IV E devices: HC4E25, HC4E35 Compilation support for these HardCopy IV GX devices: HC4GX15, HC4GX25, HC4GX35
The Quartus II software version 9.1 SP1 adds support for the following devices:Initial information support for these Cyclone IV GX devices: EP4CGX30, EP4CGX50, EP4CGX75, EP4CGX110, EP4CGX150 Advance support for these Cyclone IV E devices: EP4CE6, EP4CE10, EP4CE15, EP4CE22, EP4CE30, EP4CE40, EP4CE55, EP4CE75, EP4CE115 Full support for these Arria II GX devices: EP2AGX190, EP2AGX260 Full support for these Stratix IV devices: EP4SGX290, EP4SGX360, EP4SGX530 Compilation support for these HardCopy IV E devices: HC4E25, HC4E35 Compilation support for these HardCopy IV GX devices: HC4GX15, HC4GX25, HC4GX35
The Quartus II software version 9.1 adds support for the following devices:Initial information support for these Cyclone IV GX devices: EP4CGX15, EP4CGX22, EP4CGX30 Advance support for these Cyclone III LS devices: EP3CLS70, EP3CLS100Quartus II Software Version 9.1 SP2 Release NotesApril 2010 Altera Corporation New Features & EnhancementsPage 3Advance support for these HardCopy IV GX devices: HC4GX15, HC4GX25, HC4GX35 Advance support for these Stratix IV devices: EP4SE360, EP4SE820, EP4S40G5, EP4S100G3, EP4S100G4, EP4S100G5, EP4SGX70HF35, EP4SGX110HF35, EP4SGX290KF43, EP4SGX290NF45, EP4SGX360KF43, EP4SGX360NF45, EP4SGX530KF43 Full support for these Arria II GX devices: EP2AGX45, EP2AGX65, EP2AGX125ES Full support for these Cyclone III LS devices: EP3CLS150, EP3CLS200 Full support for these HardCopy III devices: HC325, HC335 Full support for these Stratix IV devices: EP4SE530ES, EP4SGX180, EP4SGX230, EP4SGX530ES, EP4S40G2, EP4S100G2
April 2010Altera CorporationQuartus II Software Version 9.1 SP2 Release Notes Page 4EDA Interface InformationEDA Interface InformationThe Quartus II software version 9.1 SP2 supports the following EDA tools:Synthesis Tools Synopsys Synplify & Synplify Pro Mentor Graphics Precision RTL Synthesis Mentor Graphics LeonardoSpectrum Synopsys Design Compiler Mentor Graphics DK Design Suite Simulation Tools Mentor Graphics ModelSim Mentor Graphics ModelSim-Altera Mentor Graphics ModelSim-Altera Starter Edition Cadence NC-Sim Synopsys VCS / VCS MX Aldec Active-HDL Aldec Riviera-PRO Formal Verification Tools (Equivalence Checking) Cadence Encounter Conformal Chip Level Static Timing Analysis Synopsys PrimeTime Board Level Static Timing Analysis Mentor Graphics TAU Board Level Symbol/Pin-out Management Mentor Graphics I/O Designer 7.3 3.7 Version NativeLink Support 8.1 Version Z-2007.06 Version NativeLink Support 6.5b 6.5b 6.5b 8.2 (Linux only) Y-2009.06-SP1 8.1-SP2 (Windows only) 2009.06 Version Version C-9a .12-SP4 5.0 SP5 Version NativeLink Support
NativeLink Support
NativeLink SupportNativeLink SupportQuartus II Software Version 9.1 SP2 Release NotesApril 2010 Altera Corporation Changes to Software BehaviorPage 5Changes to Software BehaviorThis section documents instances in which the behavior and default settings of this release of the Quartus II software have been changed from earlier releases of the software. Items listed in the following table represent cases in which the behavior of the current release of the Quartus II software is different from a previous version.Description Version 9.1 SP1 The following Cyclone IV GX devices are not supported in the Quartus II software version 9.1 SP1 and later:
WorkaroundEP4CGX15BN11C6 EP4CGX15BN11C7 EP4CGX15BN11I7 To reserve a ASDO/DATA1 pin, use a RESERVE_DATA1_AFTER_CONFIGURATION assignment. To reserve a nCSO pin, use a RESERVE_FLASH_NCE_AFTER_CONFIGURATION assignment.For the Cyclone IV GX device family, the RESERVE_ASDO_AFTER_CONFIGURATION assignment is not available. In the Quartus II software version 9.1, you could use a RESERVE_ASDO_AFTER_CONFIGURATION assignment to reserve both ASDO/DATA1 and nCSO pins in Bank 9 as user I/O pins in user mode. In the Quartus II software version 9.1 SP1 and later, the RESERVE_ASDO_AFTER_CONFIGURATION assignment is not available for the Cyclone IV GX device family. This change enables Fast Passive Parallel (FPP) configuration for some Cyclone IV GX devices, allowing you to reserve the ASDO/DATA1 pin while keeping the nCSO pin available for general purpose I/O. In the Quartus II software version 9.1, RX PCS and TX PCS clock names reported by the TimeQuest Timing Analyzer are incorrect. For example, in the Quartus II software version 9.1, the TimeQuest Timing Analyzer might report xcvr_alt4gxb_component|receive_pcs0|clkout as xcvr_alt4gxb_component|receive_pcs0|recove redclk In the Quartus II software version 9.1 SP1 and later, the clock names reported by the TimeQuest Timing Analyzer are correct.If you are using SDC assignments written for the Quartus II software version 9.1 in the Quartus II software version 9.1 SP1 or later, verify that your RX PCS and TX PCS clock names are correct.Version 9.1 ACEX, APEX, FLEX, and HardCopy Stratix device families are not provided with the Quartus II software version 9.1 and later. Use the Quartus II software version 9.0 SP2 or earlier to support those devices. The Quartus II software version 9.0 and the associated service packs will remain available on the Altera website (). Use the Quartus II software version 9.1 SP2 or earlier, Mentor Graphics ModelSim-Altera Edition, or a third-party EDA simulator and waveform editor.The Simulator and the Waveform Editor will not be provided in future versions of the Quartus II software beginning with version 10.0.April 2010Altera CorporationQuartus II Software Version 9.1 SP2 Release Notes Page 6Changes to Software BehaviorDescription For Arria II GX, Cyclone III, Cyclone IV, HardCopy III, HardCopy IV, Stratix III, and Stratix IV devices, Analysis and Synthesis performs timing-driven synthesis by default. Changes to the default assignment settings in the Quartus II software include the following:Workaround To turn off timing-driven synthesis, turn off Timing-Driven Synthesis on the Analysis and Synthesis page of the Settings dialog box.The default value of PARALLEL_SYNTHESIS has changed to On. For Arria II GX, Cyclone III, Cyclone IV GX, HardCopy III, HardCopy IV, Stratix III, and Stratix IV devices, the default value of SYNTH_TIMING_DRIVEN_SYNTHESIS has changed to On.Changes to TimeQuest Timing Analyzer behavior in the Quartus II software version 9.1 include the following:set_max_skew now includes utsu, uth, utco, from_clock, to_clock, and clock_uncertainty. In designs that target Stratix III devices, if ENA_REGISTER_MODE of the ena port is set to DOUBLE_REGISTER, the internal register-to-register timing of the enable signal is guaranteed by design and is excluded from timing analysis. Stratix III I4 timing models have been updated in the Quartus II software versions 9.0 SP2 and 9.1. Only timing delays in Low Power mode LABs and Low Power mode MLABs in I4 industrial speed grade devices are affected. This change in the timing models may affect your static timing analysis and fitting result. Existing designs that target Stratix III I4 devices might exhibit some degradation in performance after timing analysis with the TimeQuest Timing Analyzer in the Quartus II software version 9.1. However, a full recompilation of the design removes any degradation in performance. Other speed grade devices (I3 and I4L at 1.1V; I4L at 0.9V; and all commercial speed grades) are not affected. If your design works correctly in your hardware system, Altera recommends that you take no action. Otherwise, Altera recommends that you fully recompile the design.Mentor Graphics ModelSim-Altera Edition no longer includes the alt_vtl library used to simulate MAX+PLUS II designs. In designs that target Stratix IV devices, ALTGX megafunction instances created with the Use external termination option turned on in the Quartus II software version 9.0 and earlier did not disable internal termination. The Quartus II software version 9.1 disables internal termination when the Use external termination option is turned on. Starting in the Quartus II software version 9.1, an ALTGX megafunction generates only a 1-bit rateswitch port for PCIE Gen 2 x4/x8 configurations. In previous versions of the Quartus II software, an ALTGX megafunction generated 4- or 8-bit rateswitch ports, of which only bit 0 was used.Use the alt_vtl library from the Mentor Graphics ModelSim-Altera Edition version 6.4a. This change in software behavior decreases the resistance in designs that use external termination and may change measured signal characteristics compared to those measured in previous versions of the Quartus II software. This change in software behavior does not affect designs that use internal termination.Quartus II Software Version 9.1 SP2 Release NotesApril 2010 Altera Corporation Known Issues & WorkaroundsPage 7Known Issues & WorkaroundsGeneral Quartus II Software IssuesIssue Version 9.1 SP1 Quartus II Help in the Quartus II software version 9.1 SP1 and earlier incorrectly states that the Fitter does not include set_max_skew constraints in design optimization, however, set_max_skew constraints are optimized by the Fitter. The set_max_skew command is available in the ::quartus::sdc_ext 1.0 Tcl package. If you use the Remote System Upgrade configuration scheme to create a Programmer Object File (.pof) to update a device programmed with a .pof from a previous version of the Quartus II software, the Quartus II software version 9.1 SP1 might generate an internal error similar to the following: Internal Error: Sub-system: PGMIO, File: /quartus/pgm/pgmio/pgmio_pof_diff.cpp, Line: 635 data do not match If you implement an ALTCLKCTRL megafunction and then run the Design Assistant, the Design Assistant might generate a message similar to the following: Critical Warning: (Critical) Rule C101: Gated clock should be implemented according to the Altera standard scheme. Found 1 node(s) related to this rule. You may safely ignore this message. Use the version of the Quartus II software you used to generate the original .pof to create the updated .pof. WorkaroundApril 2010Altera CorporationQuartus II Software Version 9.1 SP2 Release Notes Page 8Known Issues & WorkaroundsIssue Version 9.1 If you use variable part select with two-dimensional arrays, the Quartus II software version 9.1 SP1 generates an error similar to the following: no support for variable part select of multidimensional arrays (System Verilog) The Quartus II software version 9.1 does not correctly synthesize variable part select with two-dimensional arrays. For example, the following Verilog statements are not synthesized correctly: logic s[3:0] ; logic [0:w-1][3:0] s = m[i+1]; The Quartus II software version 9.1 does not correctly synthesize disable statements when the disable statement refers to a labeled statement. For example, the following Verilog statements are not synthesized correctly: lbl: out1 ,=r1^r2; Running Partition Merge after importing a design with empty partitions created with a previous version of the Quartus II software results in the following error:Error: Missing required database file.WorkaroundDo not use variable part select with two-dimensional arrays. Use multiple constant part selects such as m[2+:1], and then choose from them.Rewrite your code so that the statement is surrounded by a begin-end block and name the block after the begin keyword. For example, the following is synthesized correctly: begin: lbl out1 &=r1^r2; Run Analysis and Synthesis before running Partition Merge.For the ALTFP_MATRIX_MULT megafunction, the maximum column and row sizes for input matrices is limited to 64. If you attempt to add the ALTFP_MATRIX_MULT megafunction to your project with the MegaWizard Plug-In Manager, the MegaWizard Plug-In Manager fails to add the altfpc_lib library to your project. If you attempt to add the ALTFP_MATRIX_INV megafunction to your project with the MegaWizard Plug-In Manager, the MegaWizard Plug-In Manager fails to add the altfpc_lib library to your project. Manually include the altfpc_lib.v or altfpc_lib.vhd file in the project.Manually include the altfpc_lib.v or altfpc_lib.vhd file in the project.Quartus II Software Version 9.1 SP2 Release NotesApril 2010 Altera Corporation Known Issues & WorkaroundsPage 9Issue The ALTFP_CONVERT megafunction fixed-point-to-floating-point and floating-point-to-fixed-point features are supported only from a command prompt.Workaround To create an ALTFP_CONVERT megafunction that converts a single precision value to a Q16.16 fixed point value for a design that targets a Stratix III device, type the following at a command prompt: clearbox cbx_altfp_convert CBX_AUTO_BLACKBOX=ALL OPERATION=FLOAT2FIXED WIDTH_EXP_INPUT=8 WIDTH_MAN_INPUT=23 WIDTH_INT=16 WIDTH_RESULT=32 DEVICE_FAMILY=STRATIXIII clock dataa result cbx_file=float2fixed.v To create an ALTFP_CONVERT megafunction that converts a Q16.16 fixed point value to a single precision value, thy the following at a command prompt: clearbox cbx_altfp_convert CBX_AUTO_BLACKBOX=ALL OPERATION=FIXED2FLOAT WIDTH_EXP_OUTPUT=8 WIDTH_MAN_OUTPUT=23 WIDTH_INT=16 WIDTH_DATA=32 DEVICE_FAMILY=STRATIXIII clock dataa result cbx_file=fixed2float.vAt a resolution of , the MegaWizard Plug-in Manager cannot display settings for the ALTREMOTE_UPDATE, ALTPLL, and ALTGX megafunctions. When a RAM is inferred under all the following conditions, the synthesized circuit is not guaranteed to be correct:Change your display settings to a higher resolution.The read from and write to the memory occur in the same always block or process. The always block or process that reads/writes to the memory array is combinational.To solve this problem, either disable RAM inference or rewrite the HDL description of the RAM. RAM inference can be disabled by setting the auto_ram_recognition variable to Off. Alternatively, a different HDL description can be used for the RAM (refer to the &Inferring Memory Functions from HDL Code& chapter in the Quartus II Handbook). In the Device page in the Settings dialog box, click Migration Devices. In the Migration Devices dialog box, click OK to close the dialog box. In the Device page, click OK to close the page. Regenerate the ALTGX instances.The write assignment happens before the read. When you compile a project and then try to open the Assignment Editor, the Quartus II software displays an internal error if the selected devices in the Migration Devices dialog box include EP4SGX290KF40C3, EP4SGX360KF40C3, and EP4SGX530KH40C3. In projects targeting Stratix IV GT and Arria GX devices, transceivers with ALTGX instances created in the Quartus II software version 9.0 SP1 might display an internal error in versions of the Quartus II software later than 9.0 SP1: Internal Error: Sub-system: FHSSI, File: /quartus/fitter/fhssi/fhssi_cell_group.c pp, Line: 1463 aux_cell != NULLApril 2010Altera CorporationQuartus II Software Version 9.1 SP2 Release Notes Page 10Platform-Specific IssuesPlatform-Specific IssuesWindows Platforms OnlyIssue Version 9.1 If you attempt to install the Quartus II software version 9.1 to a disk drive that uses a FAT32 file system, installation fails and Windows generates an error similar to the following: File Error The following error occurred on the file &file name&. The directory or file cannot be created (0x52) Refer to the solution available at /support/kdb/solutions/rd5.html. WorkaroundLinux Platforms OnlyIssue Version 9.1 SP1 If you edit an existing megafunction variation with the MegaWizard, the Quartus II software might generate an error similar to the following: ** Parsing error, line 1, uri file:/tmp/data9428211iptb Content is not allowed in prolog. You may safely ignore this message. WorkaroundVersion 9.1 The Quartus II Web Edition software version 9.1 for Linux is a beta release. This beta version allows you to experience the software before it is officially released, but it may have limited-feature functionality. If you access the TimeQuest Timing Analyzer GUI using remote access tools such as VNC, Xvnc, and Exceed, the GUI might become unresponsive. The Toolbar dialog box does not close. The Quartus II GUI cannot be resized. This issue is corrected in the Quartus II software version 9.1 SP1 For a complete listing of features available with the Quartus II Web Edition software version 9.1 for Linux, refer to the Quartus II Web Edition Software download site. Refer to the solution available at /support/kdb/solutions/rd.html Close the Customize dialog box before attempting to close the Toolbar dialog box Refer to the solution available at /support/kdb/solutions/rd4.html.Quartus II Software Version 9.1 SP2 Release NotesApril 2010 Altera Corporation Device Family IssuesPage 11Device Family IssuesArria II GXIssue Version 9.1 SP1 In the Quartus II software, delay locked loops (DLLs) are numbered from 0 to 1. However, Chapter 7 &External Memory Interfaces in Arria II GX Devices& in Volume 1 of the Arria II GX Device Handbook numbers DLLs from 1 to 2. Use numbers 0 to 1 to refer to DLLs when you make location assignments in the Quartus II software. WorkaroundVersion 9.1 Netlists that have been exported using the Quartus II software version 9.1 cannot be imported to later versions of the Quartus II software. For designs targeting EP2AGX45 and EP2AGX65 devices, the Quartus II software version 9.1 might incorrectly generate programming files, causing functional failures in some devices. This issue can occur when you program your device with the Quartus II Programmer or when you use any of the following file formats: .pof, .rbf, .hexout, .ttf, .rpd, .jic, .jbc, and .svf. This issue is corrected in the Quartus II software version 9.1 SP1. The Memory Initialization File (.mif) Generator might not create accurate data for designs that target the Arria II GX device family. This issue is corrected in the Quartus II software version 9.1 SP1. In the Quartus II software version 9.1 and earlier, if an MLAB is configured without a clock enable and the MLAB does not share the same clock routing as its datain registers, write failures may occur in designs that target Stratix III, Stratix IV GX, or Arria II GX devices. In this configuration, the Assembler incorrectly grounds the clock enable of the MLAB and disables the write operation of the MLAB. This issue is corrected in the Quartus II software version 9.1 SP1. Compilation of a design targeting an Arria II GX device that uses LVDS without Dynamic Phase Alignment (DPA) and a data rate higher than 840Mbit/s generates a report panel entitled &Transmitter/Receiver Package Skew Compensation& to guide you to compensate for the skew on your board trace. In the &Estimated TCCS/Sampling Window Reduction& column (the last column of the report panel), the delay reduction reported may be inconsistent with the value reported in &Recommended Trace Delay Addition.& For designs that use Arria II GX transceivers, generation of HSPICE Simulation Deck files with the EDA Netlist Writer is not supported. Use the Recommended Trace Delay Addition value to compensate for the skew and ignore the Estimated TCCS/Sampling Window Reduction values. If your design compiled in the Quartus II software version 9.1 or earlier and has run successfully in hardware, no action is required. Otherwise, refer to the solution available at /support/kdb/solutions/rd 28.html. In the generated .mif, change bit numbers 6 and 7 of the second word of the MIF header (MIF address &1&) from &00& to &10&. Note that the bit counting starts at 0. Recompile your design using the Quartus II software version 9.1 SP1 or later. Refer to the solution available at /support/kdb/solutions/rd 71.html.To generate HSPICE Simulation Deck files, create a revision of your design and remove the transceiver pins from the revision.April 2010Altera CorporationQuartus II Software Version 9.1 SP2 Release Notes Page 12Device Family IssuesCyclone IIIIssue Version 9.1 SP1 The TimeQuest Timing Analyzer overestimates LVDS buffer output delays. This issue is corrected in the Quartus II software version 9.1 SP2 For designs that target Cyclone III, Cyclone IV, Stratix III, and Stratix IV devices, Design Space Explorer (DSE) selects the best result incorrectly. This issue is corrected in the Quartus II software version 9.1 SP2. Manually identify the best result by performing the following steps: 1. Specify the exploration parameters you want DSE to use. 2. On the DSE Options menu, turn on Archive All Compilations. 3. Run DSE. 4. On the DSE Processing menu, click View Last DSE Report for Project. 5. In the DSE Report, review the Detailed Results table to correctly identify the best exploration point. The Detailed Results table is located in the Flow Summary section. 6. If you want to open the best design revision in the Quartus II software, open the Quartus II Archive File (.qar) corresponding to the best exploration point you identified in step 5. The .qar file is located in the &design directory&/dse directory. Use the Quartus II software version 9.1 SP2. WorkaroundCyclone IV EIssue Version 9.1 SP2 The cyclical redundancy check (CRC) feature is not supported with a core voltage set to 1.0 V. Additionally, the Quartus II software version 9.1 SP2 does not generate an error if an unsupported CRC block is instantiated, unless you use the CRCERROR pin. Do not use the CRC feature with a core voltage of 1.0 V. WorkaroundQuartus II Software Version 9.1 SP2 Release NotesApril 2010 Altera Corporation Device Family IssuesPage 13Version 9.1 SP1 For designs that target Cyclone III, Cyclone IV, Stratix III, and Stratix IV devices, Design Space Explorer (DSE) selects the best result incorrectly. This issue is corrected in the Quartus II software version 9.1 SP2. Manually identify the best result by performing the following steps: 1. Specify the exploration parameters you want DSE to use. 2. On the DSE Options menu, turn on Archive All Compilations. 3. Run DSE. 4. On the DSE Processing menu, click View Last DSE Report for Project. 5. In the DSE Report, review the Detailed Results table to correctly identify the best exploration point. The Detailed Results table is located in the Flow Summary section. If you want to open the best design revision in the Quartus II software, open the Quartus II Archive File (.qar) corresponding to the best exploration point you identified in step 5. The .qar file is located in the &design directory&/dse directory.April 2010Altera CorporationQuartus II Software Version 9.1 SP2 Release Notes Page 14Device Family IssuesFor Cyclone IV EP4CE6FI7 devices, the Passive Parallel configuration scheme is not supported in the Quartus II software version 9.1 SP1.Ensure that your pin placement is compatible with the Passive Parallel configuration scheme by performing the following steps: 1. Set the same VCCIO voltage level for I/O Bank 1 and I/O Bank 8. The VCCIO voltage level of these two banks must be one of the following: 1.5 V, 1.8 V, 2.5 V, 3.0 V, or 3.3 V. 2. Reserve DATA[2..7] pin locations for the Passive Parallel configuration scheme by including the following assignments in your project's Quartus II Settings File (.qsf): set_instance_assignment -name RESERVE_PIN &AS INPUT TRI-STATED& -to ~ALTERA_DATA2~ set_instance_assignment -name RESERVE_PIN &AS INPUT TRI-STATED& -to ~ALTERA_DATA3~ set_instance_assignment -name RESERVE_PIN &AS INPUT TRI-STATED& -to ~ALTERA_DATA4~ set_instance_assignment -name RESERVE_PIN &AS INPUT TRI-STATED& -to ~ALTERA_DATA5~ set_instance_assignment -name RESERVE_PIN &AS INPUT TRI-STATED& -to ~ALTERA_DATA6~ set_instance_assignment -name RESERVE_PIN &AS INPUT TRI-STATED& -to ~ALTERA_DATA7~ set_instance_assignment -name IO_MAXIMUM_TOGGLE_RATE &0 MHz& -to ~ALTERA_DATA*~ set_location_assignment PIN_E8 -to ~ALTERA_DATA2~ set_location_assignment PIN_F8 -to ~ALTERA_DATA3~ set_location_assignment PIN_B7 -to ~ALTERA_DATA4~ set_location_assignment PIN_E7 -to ~ALTERA_DATA5~ set_location_assignment PIN_E6 -to ~ALTERA_DATA6~ set_location_assignment PIN_A5 -to ~ALTERA_DATA7~Quartus II Software Version 9.1 SP2 Release NotesApril 2010 Altera Corporation Device Family IssuesPage 15Cyclone IV GXIssue Version 9.1 SP2 Using a post-fit netlist to simulate (with or without timing) a VHDL design that uses the XAUI protocol might not work properly. Use a mixed-language simulator based on the Verilog HSSI model. WorkaroundVersion 9.1 SP1 If you attempt to instantiate an ALTGX megafunction in Basic mode with a tx_forceelecidle input port, instantiation fails and the Quartus II software generates a message similar to the following: Error: ATOM &transceiver atom instance name& has port FORCEELECIDLE that cannot be connected because parameter datapath_protocol is not pipe The Quartus II software version 9.1 SP1 does not support the tx_forceelecidle input port with ALTGX megafunctions instantiated in Basic mode. During fitting, if a pin has the following assignments:
Do not use the tx_forceelecidle input port.I/O Standard set to 1.5 V Slew Rate set to 2 Current Strength set to 16 mAChange the Slew Rate or Current Strength pin assignment to a different setting.the Quartus II software may generate an internal error similar to the following:Internal Error: Sub-system: SIN, File: /quartus/tsm/sin/sin_titan_manager_body.c pp, Line: 533 Could not simulate with the default board trace modelVersion 9.1 In the New Project wizard, selecting a (not installed) device generates an internal error in the Quartus II software. Select an installed device.Stratix IIIssue Version 9.1 If your design contains encrypted IP, exporting version-compatible database files or archiving HardCopy handoff files may result in an error similar to the following: Error: Can't generate HDBX file for the project because the encrypted source file cannot be located: &&file name&& Error: Can't generate ATMX file for the project because the encrypted source file cannot be located: &&file name&& Refer to the solution available at /support/kdb/solutions/rd 76.html WorkaroundApril 2010Altera CorporationQuartus II Software Version 9.1 SP2 Release Notes Page 16Device Family IssuesStratix II GXIssue Version 9.1 In reconfigurable ALT2GXB instances, the PLL logical number and PLL location number must match in order to work properly in hardware. If the logical number and location number do not match, the Quartus II software generates a message similar to the following: Error: Can't place GXB CMU PLL Ensure that the PLL logical number and PLL location number match. WorkaroundStratix IIIIssue Version 9.1 SP2 MegaWizard-generated RAM blocks with read-during-write behavior set to New Data have read_during_write_mode_port_a and read_during_write_port_b parameters set to NEW_DATA_WITH_NBE_READ, even if no byte enable is used. These parameter settings negatively affect performance. The cyclical redundancy check (CRC) feature is not supported with a core voltage set to 0.9 V. Additionally, the Quartus II software version 9.1 SP2 does not generate an error if an unsupported CRC block is instantiated, unless you use the CRCERROR pin. Set read_during_write_mode_port_a and read_during_write_mode_port_b to NEW_DATA_NO_NBE_READ. WorkaroundDo not use the CRC feature with a core voltage of 0.9 V.Version 9.1 SP1 For designs that target Cyclone III, Cyclone IV, Stratix III, and Stratix IV devices, Design Space Explorer (DSE) selects the best result incorrectly. This issue is corrected in the Quartus II software version 9.1 SP2. Manually identify the best result by performing the following steps: 1. Specify the exploration parameters you want DSE to use. 2. On the DSE Options menu, turn on Archive All Compilations. 3. Run DSE. 4. On the DSE Processing menu, click View Last DSE Report for Project. 5. In the DSE Report, review the Detailed Results table to correctly identify the best exploration point. The Detailed Results table is located in the Flow Summary section. If you want to open the best design revision in the Quartus II software, open the Quartus II Archive File (.qar) corresponding to the best exploration point you identified in step 5. The .qar file is located in the &design directory&/dse directory. If you configure an M144K memory block as x36 on port A or x18 on port B and data[0] is not connected, the block's other data lines might not be routed correctly. Refer to the solution available at /support/kdb/solutions/rd 56.htmlQuartus II Software Version 9.1 SP2 Release NotesApril 2010 Altera Corporation Device Family IssuesPage 17In the Quartus II software, delay locked loops (DLLs) are numbered from 0 to 3. However, Chapter 8 &External Memory Interfaces in Stratix II Devices& in Volume 1 of the Stratix III Device Handbook numbers DLLs from 1 to 4.Use numbers 0 to 3 to refer to DLLs when you make location assignments in the Quartus II software.Version 9.1 In the Quartus II software version 9.1 and earlier, if an MLAB is configured without a clock enable and the MLAB does not share the same clock routing as its datain registers, write failures may occur in designs that target Stratix III, Stratix IV GX, or Arria II GX devices. In this configuration, the Assembler incorrectly grounds the clock enable of the MLAB and disables the write operation of the MLAB. This issue is corrected in the Quartus II software version 9.1 SP1. In reconfigurable ALT2GXB instances, the PLL logical number and PLL location number must match in order to work properly in hardware. If the logical number and location number do not match, the Quartus II software generates a message similar to the following: Error: Can't place GXB CMU PLL Ensure that the PLL logical number and PLL location number match. If your design compiled in the Quartus II software version 9.1 or earlier and has run successfully in hardware, no action is required. Otherwise, refer to the solution available at /support/kdb/solutions/rd 28.htmlStratix IVIssue See Stratix IV GX WorkaroundStratix IV GXIssue Version 9.1 SP1 For designs that target Cyclone III, Cyclone IV, Stratix III, and Stratix IV devices, Design Space Explorer (DSE) selects the best result incorrectly. This issue is corrected in the Quartus II software version 9.1 SP2. Manually identify the best result by performing the following steps: 1. Specify the exploration parameters you want DSE to use. 2. On the DSE Options menu, turn on Archive All Compilations. 3. Run DSE. 4. On the DSE Processing menu, click View Last DSE Report for Project. 5. In the DSE Report, review the Detailed Results table to correctly identify the best exploration point. The Detailed Results table is located in the Flow Summary section. If you want to open the best design revision in the Quartus II software, open the Quartus II Archive File (.qar) corresponding to the best exploration point you identified in step 5. The .qar file is located in the &design directory&/dse directory. WorkaroundApril 2010Altera CorporationQuartus II Software Version 9.1 SP2 Release Notes Page 18Device Family IssuesIssue In the Quartus II software, delay locked loops (DLLs) are numbered from 0 to 3. However, Chapter 7 &External Memory Interfaces in Stratix IV Devices& in Volume 1 of the Stratix IV Device Handbook numbers DLLs from 1 to 4.Workaround Use numbers 0 to 3 to refer to DLLs when you make location assignments in the Quartus II software.Version 9.1 In the Quartus II software version 9.1 and earlier, if an MLAB is configured without a clock enable and the MLAB does not share the same clock routing as its datain registers, write failures may occur in designs that target Stratix III, Stratix IV GX, or Arria II GX devices. In this configuration, the Assembler incorrectly grounds the clock enable of the MLAB and disables the write operation of the MLAB. This issue is corrected in the Quartus II software version 9.1 SP1. With the ALTGX megafunction, if your design uses dynamic protocol reconfiguration from x4 bonding in basic mode to XAUI or PCIE x4 protocols, simulation fails. The ALTGX_RECONFIG megafunction cannot support both Tx data rate division and channel/PLL reconfiguration simultaneously. If you attempt to instantiate an ALTGX megafunction with the following settings:If your design compiled in the Quartus II software version 9.1 or earlier and has run successfully in hardware, no action is required. Otherwise, refer to the solution available at /support/kdb/solutions/rd 28.htmlWhich protocol will you be using? set to Basic (PMA Direct) Which subprotocol will you be using? set to XN What is the number of channels? set to a value greater than 4 Enable Channel and Transmitter PLL reconfiguration set to On Use additional CMU/ATX Transmitter PLLs from outside the Transceiver block set to On you select a total of two PLLs, and you select PLL3 as the PLL logical reference index of the main PLLCreate the ALTGX instance with one channel, and duplicate the one-channel instance in your design to create multiple channels.
the Quartus II software generates an internal error. If you enable serial loopback between two PMA Direct mode ALTGX transceivers placed in different channels or quads, communication between the transceivers may fail. Enable serial loopback only between input and output pins on the same channel or quad.Quartus II Software Version 9.1 SP2 Release NotesApril 2010 Altera Corporation Device Family IssuesPage 19Issue ALTGX x8 bonding (PCIE and Basic) with reconfiguration is not working appropriately in the Quartus II software version 9.1. ALTGX receivers in Basic (PMA Direct) mode may encounter a hardware hold failure in the transfer to core logic if default timing constraints are used, even after passing timing analysis with the preliminary timing model.WorkaroundTo ensure that the core registers latch the receiver's data with the same clock edge that the RX PMA launched the data with, add the following line to your SDC: set_multicycle_path -setup -from [get_registers *alt4gxb*|wire_receive_pma*_recoverdata out*] 0Stratix IV GTIssue Version 9.1 During fitting, timing analysis, or power analysis, incorrect voltage settings of the VCC, VCCA, or VCCD power rails can generate the following error message: Error: The supply voltage value &voltage& applied to the &power supply& power rail is illegal for the currently selected device. Select supply voltage values with the Voltage tab of the Settings dialog box. WorkaroundHardCopyIssue Version 9.1 SP1 Because on-chip delay variation modeling for HardCopy III and HardCopy IV is missing from the timing models in the Quartus II software version 9.1 SP1 and earlier, a design that targets a HardCopy III or HardCopy IV device might not meet timing during PrimeTime analysis although the TimeQuest Timing Analyzer reports that the design meets timing. In the Quartus II software, delay locked loops (DLLs) are numbered from 0 to 3. However, Chapter 7 &External Memory Interfaces in HardCopy IV Devices& in Volume 1 of the HardCopy IV Device Handbook and Chapter 7 &External Memory Interfaces in HardCopy III Devices& in Volume 1 of the HardCopy III Device Handbook number DLLs from 1 to 4. Apply additional clock uncertainty of approximately 200 ps. WorkaroundUse numbers 0 to 3 to refer to DLLs when you make location assignments in the Quartus II software.April 2010Altera CorporationQuartus II Software Version 9.1 SP2 Release Notes Page 20Device Family IssuesIssue Version 9.1 For designs that target HardCopy IV devices, if Target Device is set to Auto device selected by the Fitter and, in the Quartus II Settings File (.qsf) or with Tcl assignments, you have specified package or speed grade restrictions such that no valid HardCopy IV devices can be selected by the Fitter, the Quartus II software may generate an internal error during synthesis similar to the following:Internal Error: Sub-system: SUTIL, File: /quartus/synth/sutil/sutil_device.cpp, Line: 1242 is_legal_device()WorkaroundAssign a device to your project with the Device page of the Settings dialog box.For designs that target HardCopy III or HardCopy IV devices, if, on the Dual-Purpose Pins tab of the Device and Pin Options dialog box, Data{7 .. 1] is set to As output driving ground, compilation generates an internal error similar to the following: Unknown power supply for configuration pins In the ALTDQ_DQS MegaWizard, if the RLDRAMII mode is set to x18 or x36 and both the Simulation Model and Generate netlist options are turned on, the following message is generated: Failed to generate the synthesis netlist file During compilation of a design that targets a HardCopy IV device, the Quartus II software might generate errors similar to the following:Critical Warning: Atom &*|atx_pll0& has data field INT_CHARGE_PUMP_CURRENT_BITS value 200 in revision &test_hciv& versus 144 in revision &test& Critical Warning: Atom &*|atx_pll0& has data field INT_CHARGE_PUMP_CURRENT_BITS value 160 in revision &test_hciv& versus 144 in revision &test& Critical Warning: Object &Inclk0 signal type& has property field &*|altpll:altpll_component|altpll_i4f2:au to_generated|pll1 & as value &Global Clock& in revision &sbtt_stratix_bridge_top& versus &--& in revision &sbtt_stratix_bridge_top_hc&Do not set Data[7 .. 1] to As output driving ground.Use the megafunction-generated variation file as input to third party synthesis tools.You may safely ignore these messages.Quartus II Software Version 9.1 SP2 Release NotesApril 2010 Altera Corporation SOPC Builder IssuesPage 21SOPC Builder IssuesIssue Version 9.1 The Tcl parser used by SOPC Builder uses the Tcl version 8.0 API. The Quartus II software uses the Tcl version 8.5 API. Some Tcl syntax, such as regular expressions, may behave differently in your component's hw.tcl folder and in the Quartus II Tcl Interpreter. The Vectored Interrupt controller does not support VHDL simulation models. The output port reset value of a parallel input/output (PIO) module may be invalid if the Enable individual bit set/clear output register option is turned on for the output register and the multi-bit output port reset value is not zero. When adding components to your system, SOPC Builder might generate messages similar to the following: Warning: set_module_property on deprecated property class_name, please use name instead Warning: set_module_property on deprecated property preview_elaboration_callback, please use elaboration_callback instead Warning: set_module_property on deprecated property preview_validation_callback, please use validation_callback instead In the System Console, if you set DC gain to 4, the transceiver_reconfig_analog_get_rx_dcgain and transceiver_reconfig_analog_set_rx_dcgain Tcl commands generate the following error: Invalid DC Gain value In designs that target Arria II GX or Stratix IV devices, if you set DC gain to 2 with the ALTGX MegaWizard, the effective DC gain of the receiver is incorrectly set to 1. In the System Console, if you call design_load twice on the same project, you lose plugin services. After you configure your ALTGX megafunction instance, use the ALTGX_RECONFIG megafunction to set the DC gain to 2. Call design_load only once per design, per System Console session. If you need to reload the design, start a new System Console. Select a DC gain value between 0 and 3. On the Basic Settings page of the MegaWizard interface for the PIO core, turn off Enable individual bit set/clear output register. You may safely ignore these messages. Use the Tcl version 8.0 API command set. WorkaroundApril 2010Altera CorporationQuartus II Software Version 9.1 SP2 Release Notes Page 22EDA Integration IssuesEDA Integration IssuesIssue Version 9.1 Attempting to simulate the UNIPHY megafunction's generic memory model in the sample design provided with the Cadence NC-sim software version 8.2 fails with an error similar to the following: Use a vendor or third-party generic memory model. WorkaroundQueue uses an element data type that is not currently supported.On Linux computers, attempting to run the Mentor Graphics ModelSim-Altera or Mentor Graphics ModelSim-Altera Starter Edition vsim command from the &path to ModelSim&/bin directory generates an error similar to the following: Error: cannot find /apps/altera/quartusII/9.1.linux.cb/mod elsim_ase/bin/linux/vsim Run the vsim command from the &path to ModelSim&/linuxaloem directory.Memory Interface IssuesIssue Version 9.1 If a UNIPHY instance is generated in slave mode, the timing constraints are incorrect. In slave mode, the PLL is not instantiated inside the PHY, but is assumed to be external to the PHY. This issue is corrected in the Quartus II software version 9.1 SP1.WorkaroundManually edit the following two files:&core&/constraints/&core&_parameters.tcl. In this file, PLL output ports are identified by their names. If the PLL is external to the PHY, replace the names of the PLL output ports with the names of the PLL ports you intend to use. &core&/constraints/&core&.sdc. In this file, PLL output ports are referenced by prepending the UNIPHY hierarchy. If the PLL is external to the PHY, remove &${inst}|& from each PLL port reference. &core&_report_timing.tcl &core&.sdcIn the RLDRAMII GUI of the UNIPHY megafunction, the tQKH parameter is defined as a &percentage of half of a clock period.& However, in the generated timing constraints, the tQKH parameter is used as a &percentage of a full clock period.& As a result, the read hold margin, as defined in the timing constraints, is too optimistic. This issue is corrected in the Quartus II software version 9.1 SP1.Manually edit the following two files: to apply the tCKH corrective factor to the equations where tQKH is used. For example, change [ expr $tQKH * $tCK ] to [ expr $tQKH * $tCK * 0.45 ] where `0.45' is an example value of the tCKH parameter. (the tCKH parameter for your memory device can be obtained from the RLDRAMII data sheet).Quartus II Software Version 9.1 SP2 Release NotesApril 2010 Altera Corporation Issue If you use the ALTMEMPHY megafunction for DDR2 or DDR3 on discrete devices with dynamic parallel on-chip termination (OCT) and read or write operations occur close to each other, data written to the RAM might be corrupted. During timing analysis, a warning regarding the PLL bandwidth setting may appear when there are cascading PLLs driving the ALTMEMPHY megafunction: &Critical Warning: ALTMEMPHY PLL, &PLL name&, when fed by another PLL, must have bandwidth mode set to High instead of Auto&Workaround Refer to the solution available at /support/kdb/solutions/rd_876.html Set the bandwidth setting to High for the PLL using the ALTPLL megafunction.Simulation Model Changesaltera_mf ModelsModel Version 9.1 altqpramChangesThis simulation model pertains to the APEX family only. Because the APEX family is not provided with the Quartus II software version 9.1, the altqpram model is no longer available. This simulation model pertains to the APEX family only. Because the APEX family is not provided with the Quartus II software version 9.1, the altcam model is no longer available.altcamNote: Beginning with the Quartus II software version 9.1, APEX, FLEX, and HardCopy Stratix device families are no longer supported by the Quartus II software simulation models.Latest Known Quartus II Software IssuesFor more information about known software issues, look for information on the Quartus II Software Support page at the following URL: /support/software/sof-quartus.html You can find known issue information for previous versions of the Quartus II software on the Knowledge Database page at the following URL: /support/kdb/kdb-index.jsp Page 24Software Issues ResolvedSoftware Issues ResolvedThe following Customer Service Requests were fixed or otherwise resolved in the Quartus II software version 9.1 SP2:Customer Service Request Numbers Resolved in the Quartus II Software Version 9.1 SP2 36 28 95 87 70 14 46 99 70 66 99 54 87 37 11 89 09 07 38 60 66 34 82 38 09 36 10 47762The following Customer Service Requests were fixed or otherwise resolved in the Quartus II software version 9.1 SP1:Customer Service Request Numbers Resolved in the Quartus II Software Version 9.1 SP1 03 91 96 60 52 27 80 48 50 99 75 19 42 88 19 09 71 05 61 15 64 95 28 85 82 79 57 26 13 36 13 04 98 24 78 94 01 17 63 12 39 38 18 86 76 64 28 40 55Quartus II Software Version 9.1 SP2 Release NotesApril 2010 Altera Corporation Software Issues ResolvedPage 25Customer Service Request Numbers Resolved in the Quartus II Software Version 9.1 SP1 01 62 87 96 64 06 71 92 80 18 58 31 24 21 32 The Quartus II software version 9.1 SP2 includes the following patches released for the Quartus II software version 9.1 SP1:Quartus II Software Version 9.1 SP1 Patches Included in this Release 1.02 1.23 1.37 1.06 1.28 1.38 1.07 1.29 1.39 1.08 1.31 1.41 1.09 1.32 1.13 1.35 1.16 1.36The Quartus II software version 9.1 SP1 includes the following patches released for the Quartus II software version 9.1:Quartus II Software Version 9.1 Patches Included in this Release 0.01 0.12 0.25 0.35 0.51 0.62 0.75 0.03 0.13 0.26 0.39 0.52 0.63 0.77 0.06 0.16 0.28 0.41 0.53 0.65 0.78 0.08 0.20 0.29 0.44 0.54 0.67 0.85 0.09 0.21 0.30 0.45 0.58 0.68 0.10 0.22 0.32 0.46 0.59 0.69 0.11 0.23 0.34 0.50 0.60 0.73Revision HistoryRevision 1.0 Initial Release DescriptionApril 2010Altera CorporationQuartus II Software Version 9.1 SP2 Release Notes Software Issues Resolved101 Innovation Drive San Jose, CA 95134
Technical Support /supportCopyright April 2010. Altera Corporation. All rights reserved. Altera, The Programmable Solutions Company, the stylized Altera logo, specific device designations, and all other words and logos that are identified as trademarks and/or service marks are, unless noted otherwise, the trademarks and service marks of Altera Corporation in the U.S. and other countries. All other product or service names are the property of their respective holders. Altera products are protected under numerous U.S. and foreign patents and pending applications, maskwork rights, and copyrights. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera Corporation. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services.
Quartus II Version 9.1 SP2 Software Release Notes
Our content is added by our users. We aim to remove reported files within 1 working day. Please use this link to notify us:
You might also be interested inBETA

参考资料

 

随机推荐