在MAX-PLUS 2中如何把数据dotamax怎么存入库存ROM

& & 在FPGA中构造存储器
& & 许多系列的FPGA芯片内嵌了存储阵列,如ARA EPlK50芯片内嵌了5K字节的存储阵列。因此,在FPGA中实现各种存储器,如单/双端口RAM、单/双端口ROM、先进先出存储器FIFO等非常方便,而且具有诸多优点。其硬件可编程的特点允许开发人员灵活设定存储器数据的宽度、存储器的大小、读写控制逻辑等,尤其适用于各种特殊存储要求的场合。FPGA/FPGA器件可工作于百兆以上,其构造的存储器存取速度也可达百兆次/秒以上,这样构成的高速存储器能够胜任存储数据量不太大,但速度要求很高的工作场合。
& & FPGA中构造存储器主要有两种方法实现。一是通过硬件描述语言如VHDL、AHDL、Verilog HDL等编程实现。二是调用MAX+PLUSⅡ自带的库函数实现。调用库函数方法构造存储器较硬件描述语言输入方式更为方便、灵活、快捷和可靠,故也更常用之。
& & 利用库函数构造双端口RAM
& & 在MAX+PLUSⅡ中有几个功能单元描述库。prim逻辑元库,包括基本逻辑单元,如与、或、,、输入、输出引脚等;mf宏功能库,包括TTL数字逻辑单元如74系列芯片;而下文将要详细介绍的参数化双端口RAM模块所在的参数化模块库(mega-lpm)中,包括各种参数化运算模块(加、减、乘、除)、参数化存储模块(单、双端口RAM、ROM、FIFO等)以及参数化计数器、比较器模块等等。库中的这些元件功能逻辑描述经过了优化验证,是数字电路设计中的极好选择。
& & mega-lpm库***有五种参数化双端口RAM模块:ALTDPRAM、LPM_RAM_DP、CSDPRAM、LPM_RAM_DQ和LPM_RAM_IO。其中ALTDPRAM和LPM_RAM_DP模块读写有两套总线,读和写有各自的时钟线、地址总线、数据总线和使能端,可同时进行读写操作。除此之外,ALTDPRAM模块还有一个全局清零端口。CSDPRAM模块则有a、b两组写端时钟线、地址总线、数据总线和使能端,可同时对RAM进行写操作,但对RAM读、写只能分时进行。LPM_RAM_DQ模块相对简单,读与写共用一组地址总线,有各自的数据线和时钟线。LPM_RAM_IO模块只有一组地址总线和数据总线。
& & mega-1pm函数库中的双端口RAM模块全是参数化调用,这为设计带来极大的方便。通过对各种参数的取舍、参数设置和组合,再结合读写控制逻辑就可以构造出设计需要的存储器模块。双端口RAM常见的应用模式主要有以下两种:
& & 1.存储器映像方式。该方式可以随意对存储器的任何单元进行读写操作。其主要应用于多CPU的共享数据存储、数据传送等。该方式中,读、写控制线、地址总线和数据总线有两套。根据两端口之间数据的传送方向为单向或双向,又有单向数据总线和双向数据总线之分。
& & 2.顺序写方式。该方式对RAM的写操作只能顺序写入。这种情况适用于对象特性与时间紧密相关或传送数据与顺序密切相关的场合,如文件传送、时序过程、波形分析等。根据写控制逻辑的不同,可对RAM进行循环写入或一次写入方式。该方式下的读操作可以是存储器映像读或顺序读,前一种有较大的灵活性,而后一种则类似于FIFO形式。
& & 在读、写使用独立的地址总线和数据总线时,可以同时对RAM不同单元进行读写操作。根据不同控制逻辑的要求,对读写时钟、时钟使能端口可以适时设置,以满足控制需要。
& & 下面以LPM_RAM_DP模块为例介绍库函数法构造双端口RAM的步骤。
& & 首先在MAX+PLUSⅡ中建立一个图形编辑文件。双击文件任意空白处弹出库函数选择窗口。然后从mega-lpm库中选择LPM_RAM_DP模块。
& & 在LPM_RAM_DP模块***有9个可配置参数:
& & LPM_FILE&&指定存储器的初始化数据文件;
& & LPM_INDATA&&选择输入数据采用寄存方式还是非寄存方式;
& & LPM_NUMWORDS&&设置存储器的深度(大小);
& & LPM_OUTDATA&&选择输出数据采用寄存方式还是非寄存方式;
& & LPM_RDADDRESS_CO***OL&&决定读地址控制信号是寄存方式还是非寄存方式;
& & LPM_WIDTH&&设置存储数据宽度;
& & LPM_WIDTHAD&&设置地址总线宽度;
& & LPM_WRADDRESS_CO***OL&&选择写地址控制信号是寄存方式还是非寄存方式;
& & USE_EAB&&决定是否使用嵌入式阵列块。
& & 双击双端口RAM参数列表可弹出引脚/参数设置窗口。在引脚/参数设置窗口可以具体对双端口RAM进行引脚、参数设置。可以根据具体的对存储器的功能要求,决定各种口线的使用与否。例如不想使用rdclken(读时钟使能)信号,则可以将其Status设置为Unused即可。同时还可以通过Inversion项设定该信号的初始状态(初始值)。在窗口的Parameters参数设置处,选择不同的参数项后,通过ParameterValue项可以改变或设置其相应的状态或数值。如想设置存储数据为8位宽度,则选择LPM_WIDTH项,然后将Parameter Value设置为8。
& & 例如要设计一个11位宽数据,512个存储单元,使用读写同步时钟、不需要读写使能端及时钟使能端的双端口RAM。则可以打开引脚/参数设置窗口,设置LPM_NUMWORDS为512,LPM_WIDTH为11,LPM_WIDTHAD为9,LPM_INDATA、LPM_OUTDATA、LPM_RDADDRESS_CO***OL和LPM_WRADDRESS_CO***OL为寄存方式,使用嵌入式阵列;rdaddress、rdck、data、wraddress、wrclock、q为Used,rden、rdclken、wren、wrclken为Unused。
本网站试开通微、小企业商家广告业务;维修点推荐项目。收费实惠有效果!欢迎在QQ或邮箱联系!
试试再找找您想看的资料
资料搜索:
查看相关资料 & & &
   同意评论声明
   发表
尊重网上道德,遵守中华人民共和国的各项有关法律法规
承担一切因您的行为而直接或间接导致的民事或刑事法律责任
本站管理人员有权保留或删除其管辖留言中的任意内容
本站有权在网站内转载或引用您的评论
参与本评论即表明您已经阅读并接受上述条款
copyright & &广电电器(中国梧州) -all right reserved& 若您有什么意见或建议请mail: & &
地址: ***:(86)774-2826670& & &&)编程题题库_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
编程题题库
上传于||文档简介
&&编​程​题​题​库
阅读已结束,如果下载本文需要使用1下载券
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,查找使用更方便
还剩12页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢[maxplus]MAX+plus II基本操作_maxplus-牛宝宝文章网
[maxplus]MAX+plus II基本操作 maxplus
MAX+plus II基本操作2002年5月MaxPlus II基本操作1.1 MAX+plus II概述Altera公司的MAX+plus II 软件是易学、易用的可编程逻辑器件开发软件。其界面友好,集成化程度高。本章以MAX+PLUS II10.0为例讲解该软件的使用。Altera公司为支持教育,专门为大学提供了学生版软件,其在功能上与商业版类似,仅在可使用的芯片上受到限制。以下为10.0 Baseline所具有的功能。1.1.1 MAX+plus II 10.0(Baseline)的功能1.支持的器件所支持的器件有:EPF10K10, EPF10K10A, EPF10K20,EPF10K30A以及MAX? 7000系列(含MAX 7000A, MAX 7000AE, MAX 7000E, MAX 7000S),EPM9320, EPM9320A, EPF8452A, and EPF8282A ,FLEX 6000/A 系列,MAX 5000 系列,ClassicTM系列。2.设计输入常用的设计输入的方法有:通过Max+plus II图形编辑器,创建图形设计文件(gdf文件);通过Max+plus II文本编辑器,使用AHDL语言,创建文本设计文件(.tdf);使用VHDL语言,创建文本设计文件(.vhd);使用Verilog HDL语言,创建文本设计文件(.v)。还可以通过Max+plus II波形编辑器,创建波形设计文件(.wdf)。3.设计编译通过Max+plus II编译器完成,可检查项目是否有错,并对项目进行逻辑综合,然后配置到Altera器件中,同时产生报告文件、编程文件和用于后仿真的输出文件。4.设计验证通过Max+plus II的定时分析器进行时序分析、功能仿真、时序仿真和波形分析,生成标准文件,可以给第三方工具使用。5.器件编程(Programming)和配置(Configuration)6.在线帮助1.1.2 系统要求MAX+plus II 软件对计算机的要求较低:?? 操作系统:Windows 95/98 或 Windows NT 4.0;?? ***所占空间:80 Mbytes;?? 内存要求:可用内存48MB(物理内存及虚拟内存之和),其中物理内存至少16MB。- 2 -MaxPlus II基本操作1.2
MAX+plus II10.0的***1.2.1 MAX+plus II 10.0的***MAX+PLUS II10.0的***非常简单,只要按照***向导操作即可。其***步骤如下:(设***在D:盘)(1) 从CD-ROM中的baseline目录下运行setup.exe,出现图1-1。图1-1 ***封面在***封面之后出现图1-2。图1-2 ***准备- 3 -MaxPlus II基本操作单击“Next&”出现另一窗口,在此窗口中单击“Next&”后出现图1-3。图1-3 公司与用户的协议单击“Yes”,表示接受此协议。此时出现一提示,告知你需要一个license文件来运行程序,单击此提示中的“Next&”,出现图1-4。图 1-4 输入用户名(2) 输入用户名等,单击“Next&”出现图1-5- 4 -MaxPlus II基本操作图 1-5 ***:组件选择(3) 在图1-5中使用默认选择,即***所有组件;因***在D盘,故需单击“Browse”按钮,出现图1-6。键入或选择你要***到的目录,此处所选为“d:\maxplus2”,然后按“OK”,回到图1-5后按“”Next&” 。因该目录“d:\maxplus2”不存在,会出现提示“是否创建此目录”。选择“是(Y)”,出现图 1-7。图1-6 ***目录选择- 5 -MaxPlus II基本操作图1-7 选择部件***(4) 要求为选择“MAX+plus II Tutorial”部件进行***。该部件包含许多设计的源代码/图,如一些VHDL,Verilog HDL的例子。可将其***在“D:\max2work”目录下。按“Browse”改变目录名后,单击“OK”,然后单击“Next&”可出现图1-8。图1-8 选择程序***- 6 -MaxPlus II基本操作单击“Next&”即开始***。***好Max+plus II 10.0后,在第一次需要做许多工作,才能使软件正常运行。1.2.2 MAX+plus II10.0的第一次运行(1) 双击 Max+plus II 10.0的图标,或从“开始”菜单“程序”中的“Max+plus II
10.0Baseline”组中的“Max+plus II10.0Baseline”运行Max+plus II10.0;在出现Max+plus II10.0的界面时,将出现“License Agreement”窗口(图1-9),将滑动条拉到最下阅读后,单击“YES”,出现另一窗口,图1-10。图1-9 “License Agreement”窗口图1-10
“Copy Protection” 窗口(2) 单击“否(N)”,出现图1-11的license setup窗口,提示需要一个“License.dat”文件才可使用该软件。“License.dat”文件可到http://www.niubb.netauthcode/index-u.html 上申请,在申请前,需要知道硬盘号。可在图1-11中,单击“System info….”,出现图1-12,从中你可获得你的硬盘号,例如图中的“264F0FFB”。通过email,可很快获得一个“License.dat”文件,将此文件的路径连同文件名一起写入图1-11的“License File or- 7 -MaxPlus II基本操作Server Name”对话框中,之后可发现在图1-11的左栏“Licensed Feature”项增多了,即可使用的功能增多了。单击“OK”后即可使用MAX+plus II 10.0了。若在以后的运行中想更新“License.dat”文件,可从“Option”菜单中”License Setup”进入图1-11,开始新的“License.dat”文件设置过程。图1-11
license 设置窗口图1-12
硬盘序列号1.3 MAX+plus II的设计过程MAX+PLUS II的设计过程如图1-13所示。- 8 -欢迎您转载分享:
更多精彩:

参考资料

 

随机推荐